Supply current testing of open defects at interconnects in 3D Ics with IEEE 1149.1 architecture

In this paper, a supply current test method of 3D ICs is proposed to detect open defects occurring at interconnects between two dies in which IEEE 1149.1 architecture is implemented and locate the defective interconnects. Also, a testable design method is proposed for the test method and a testable designed IC is prototyped. Furthermore, testability of the test method is evaluated by some experiments with the prototyped IC and by Spice simulation. The simulation results show that an open defect can be detected within 10nsec which generates only additional delay of 0.7nsec.

[1]  Peter van den Eijnden,et al.  Boundary-Scan Test: A Practical Approach , 2011 .

[2]  Eby G. Friedman,et al.  Three-dimensional Integrated Circuit Design , 2008 .

[3]  TingTing Hwang,et al.  TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[4]  Erik Jan Marinissen,et al.  Optimization methods for post-bond die-internal/external testing in 3D stacked ICs , 2010, 2010 IEEE International Test Conference.

[5]  Ding-Ming Kwai,et al.  A built-in self-test scheme for the post-bond test of TSVs in 3D ICs , 2011, 29th VLSI Test Symposium.

[6]  Ding-Ming Kwai,et al.  A Test Integration Methodology for 3D Integrated Circuits , 2010, 2010 19th IEEE Asian Test Symposium.

[7]  Ding-Ming Kwai,et al.  On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification , 2009, 2009 Asian Test Symposium.

[8]  Erik Jan Marinissen Challenges in testing TSV-based 3D stacked ICs: Test flows, test contents, and test access , 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

[9]  Chun-Lung Hsu,et al.  Built-in self-test/repair scheme for TSV-based three-dimensional integrated circuits , 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

[10]  Li Hong Yu,et al.  Non-destructive testing of a high dense small dimension through silicon via (TSV) array structures by using 3D X-ray computed tomography method (CT scan) , 2010, 2010 12th Electronics Packaging Technology Conference.

[11]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[12]  Zhen Zhang,et al.  Guideline to avoid cracking in 3D TSV design , 2010, 2010 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.

[13]  Peter Schneider,et al.  Developing digital test sequences for through-silicon vias within 3D structures , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[14]  Yervant Zorian,et al.  Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.

[15]  Shi-Yu Huang,et al.  Performance Characterization of TSV in 3D IC via Sensitivity Analysis , 2010, 2010 19th IEEE Asian Test Symposium.

[16]  TingTing Hwang,et al.  TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.