Scalable Design Methodology and Online Algorithm for TSV-Cluster Defects Recovery in Highly Reliable 3D-NoC Systems
暂无分享,去创建一个
Abderazek Ben Abdallah | Akram Ben Ahmed | Khanh N. Dang | Yuichi Okuyama | K. Dang | Y. Okuyama | Abderazek Ben Abdallah
[1] Luca Benini,et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.
[2] Luca Benini,et al. Error control schemes for on-chip communication links: the energy-reliability tradeoff , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Katherine Shu-Min Li,et al. Design Methodology of Fault-Tolerant Custom 3D Network-on-Chip , 2017, ACM Trans. Design Autom. Electr. Syst..
[4] Luca Benini,et al. A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[5] Qiang Xu,et al. On Effective Through-Silicon Via Repair for 3-D-Stacked ICs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[6] Hannu Tenhunen,et al. High-Performance and Fault-Tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET-Based Adaptive Monitoring Platform , 2014, IEEE Transactions on Computers.
[7] Masahiro Sowa,et al. Basic Network-on-Chip Interconnection for Future Gigascale MCSoCs Applications: Communication and Computation Orthogonalization , 2006 .
[8] Sachin S. Sapatnekar,et al. Temperature-aware routing in 3D ICs , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[9] Fangming Ye,et al. TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation , 2012, DAC Design Automation Conference 2012.
[10] Yi Pan,et al. Practical Deadlock-Free Fault-Tolerant Routing in Meshes Based on the Planar Network Fault Model , 2009, IEEE Transactions on Computers.
[11] So-Ra Kim,et al. 8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[12] E. Beyne,et al. 3D integration by Cu-Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10 μm pitch through-Si vias , 2006, 2006 International Electron Devices Meeting.
[13] Akram Ben Ahmed,et al. LA-XYZ: Low Latency, High Throughput Look-Ahead Routing Algorithm for 3D Network-on-Chip (3D-NoC) Architecture , 2012, 2012 IEEE 6th International Symposium on Embedded Multicore SoCs.
[14] Nobuaki Miyakawa,et al. Multilayer stacking technology using wafer-to-wafer stacked method , 2008, JETC.
[15] Debora Matos,et al. Combining fault tolerance and serialization effort to improve yield in 3D Networks-on-Chip , 2013, 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS).
[16] Sung Kyu Lim,et al. Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[17] Ben A. Abderazek,et al. A low-overhead soft–hard fault-tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems , 2016, The Journal of Supercomputing.
[18] Hideo Fujiwara,et al. Multicast-Based Testing and Thermal-Aware Test Scheduling for 3D ICs with a Stacked Network-on-Chip , 2016, IEEE Transactions on Computers.
[19] An-Yeu Wu,et al. Thermal-Aware 3D Network-On-Chip (3D NoC) Designs: Routing Algorithms and Thermal Managements , 2015, IEEE Circuits and Systems Magazine.
[20] Amit Acharyya,et al. A Cost-Effective Fault Tolerance Technique for Functional TSV in 3-D ICs , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] TingTing Hwang,et al. TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[22] Bashir M. Al-Hashimi,et al. Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs , 2011, 2011 Asian Test Symposium.
[23] Yu-Jen Huang,et al. Built-In Self-Repair Scheme for the TSVs in 3-D ICs , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] Vincenzo Catania,et al. Application Specific Routing Algorithms for Networks on Chip , 2009, IEEE Transactions on Parallel and Distributed Systems.
[25] Jeong-A Lee,et al. Thermal Analysis for 3D Multi-core Processors with Dynamic Frequency Scaling , 2010, 2010 IEEE/ACIS 9th International Conference on Computer and Information Science.
[26] Nader Bagherzadeh,et al. Analytical Fault Tolerance Assessment and Metrics for TSV-Based 3D Network-on-Chip , 2015, IEEE Transactions on Computers.
[27] L. Arnaud,et al. Resistance increase due to electromigration induced depletion under TSV , 2011, 2011 International Reliability Physics Symposium.
[28] Kaustav Banerjee,et al. 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.
[29] Nobuaki Miyakawa. A 3D prototyping chip based on a wafer-level stacking technology , 2009, 2009 Asia and South Pacific Design Automation Conference.
[30] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[31] J. Patel,et al. Enabling SOI-based assembly technology for three-dimensional (3d) integrated circuits (ICs) , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[32] Bashir M. Al-Hashimi,et al. Online Fault Tolerance Technique for TSV-Based 3-D-IC , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[33] Thais Webber,et al. Lasio 3D NoC vertical links serialization: Evaluation of latency and buffer occupancy , 2013, 2013 26th Symposium on Integrated Circuits and Systems Design (SBCCI).
[34] Akram Ben Ahmed,et al. Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3D-network-on-chip (3D-NoC) , 2013, The Journal of Supercomputing.
[35] Katsuyuki Sakuma,et al. Three-dimensional silicon integration , 2008, IBM J. Res. Dev..