iPatch: Intelligent fault patching to improve energy efficiency
暂无分享,去创建一个
[1] Alaa R. Alameldeen,et al. Trading off Cache Capacity for Reliability to Enable Low Voltage Operation , 2008, 2008 International Symposium on Computer Architecture.
[2] Jung Ho Ahn,et al. MAEPER: Matching Access and Error Patterns With Error-Free Resource for Low Vcc L1 Cache , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[4] Simha Sethumadhavan,et al. Late-binding: enabling unordered load-store queues , 2007, ISCA '07.
[5] Nam Sung Kim,et al. Analyzing and minimizing effects of temperature variation and NBTI on active leakage power of power-gated circuits , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[6] Brad Calder,et al. Using SimPoint for accurate and efficient simulation , 2003, SIGMETRICS '03.
[7] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.
[8] Francisco J. Cazorla,et al. RVC: a mechanism for time-analyzable real-time processors with faulty caches , 2011, HiPEAC.
[9] J. Meindl,et al. The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.
[10] Erika Gunadi,et al. A position-insensitive finished store buffer , 2007, 2007 25th International Conference on Computer Design.
[11] Amin Ansari,et al. ZerehCache: Armoring cache architectures in high defect density technologies , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[12] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[13] Trevor Mudge,et al. Yield-driven near-threshold SRAM design , 2007, ICCAD 2007.
[14] Tayyeb Mahmood,et al. Realizing near-true voltage scaling in variation-sensitive L1 caches via fault buffers , 2011, 2011 Proceedings of the 14th International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES).
[15] Amin Ansari,et al. Archipelago: A polymorphic cache design for enabling robust near-threshold operation , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[16] Mateo Valero,et al. Efficient cache architectures for reliable hybrid voltage operation using EDC codes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] K. Roy,et al. A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.
[18] Antonio María González Colás,et al. Low Vccmin fault-tolerant cache with highly predictable performance , 2009, MICRO 2009.
[19] Lizy Kurian John,et al. Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite , 2007, ISCA '07.
[20] Mateo Valero,et al. APPLE: Adaptive Performance-Predictable Low-Energy caches for reliable hybrid voltage operation , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[21] Wei Wu,et al. Energy-efficient cache design using variable-strength error-correcting codes , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[22] Mateo Valero,et al. ADAM: an efficient data management mechanism for hybrid high and ultra-low voltage operation caches , 2012, GLSVLSI '12.
[23] Yiannakis Sazeides,et al. Performance-effective operation below Vcc-min , 2010, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS).