Energy-Efficiency and Accuracy of Stochastic Computing Circuits in Emerging Technologies

The continued scaling of feature sizes in integrated circuit technology leads to more uncertainty and unreliability in circuit behavior. Maintaining the paradigm of deterministic Boolean computing therefore becomes increasingly challenging. Stochastic computing (SC) processes digital data in the form of long pseudo-random bit-streams denoting probabilities and is therefore less vulnerable to uncertainty. When transient circuit variations are present, SC greatly outperforms classical binary implementations. Under these circumstances, it is impossible for binary systems to achieve arbitrarily low error rates, while SC can still trade-off precision for energy by using longer bit-streams. This makes the technique a valuable alternative to binary logic in emerging technologies with high inherent transient uncertainty. This paper assesses the feasibility of multi-stage SC and discusses energy and accuracy considerations in SC design. First, the basics of SC-circuit design are discussed. Second, we investigate three different sources of noise or uncertainty and assess their impact on SC accuracy. Third, we propose a methodological design strategy to evaluate the accuracy of general, multi-stage SC systems. The validity of this new approach is illustrated through the design of a 1D-DCT stochastic circuit, as part of a JPEG compression accelerator. Our analysis shows multi-stage stochastic computing requires very long word lengths to achieve high accuracy, resulting in low energy efficiency. Exploiting stochastic computing's transient error tolerance in emerging technologies will thus have a high energy cost.

[1]  Giovanni De Micheli,et al.  A ReRAM-based non-volatile flip-flop with sub-VT read and CMOS voltage-compatible write , 2013, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS).

[2]  Kia Bazargan,et al.  IIR filters using stochastic arithmetic , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  L. Goux,et al.  Intrinsic switching variability in HfO2 RRAM , 2013, 2013 5th IEEE International Memory Workshop.

[4]  J. Neumann Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .

[5]  John P. Hayes,et al.  Exploiting correlation in stochastic circuit design , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[6]  Narayanan Vijaykrishnan,et al.  An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Keshab K. Parhi,et al.  Architectures for digital filters using stochastic computing , 2013, 2013 IEEE International Conference on Acoustics, Speech and Signal Processing.

[8]  Brian R. Gaines,et al.  Stochastic Computing Systems , 1969 .

[9]  John P. Hayes,et al.  Stochastic circuits for real-time image-processing applications , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[11]  Mohamad Sawan,et al.  Delayed Stochastic Decoding of LDPC Codes , 2011, IEEE Transactions on Signal Processing.

[12]  Hamid R. Zarandi,et al.  A Fast and Accurate Fault Tree Analysis Based on Stochastic Logic Implemented on Field-Programmable Gate Arrays , 2013, IEEE Transactions on Reliability.

[13]  John P. Hayes,et al.  Fast and accurate computation using stochastic circuits , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[14]  Weikang Qian,et al.  The synthesis of robust polynomial arithmetic with stochastic logic , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[15]  Xin Li,et al.  An Architecture for Fault-Tolerant Computation with Stochastic Logic , 2011, IEEE Transactions on Computers.

[16]  John P. Hayes,et al.  Survey of Stochastic Computing , 2013, TECS.

[17]  Hananeh Aliee,et al.  Fault tree analysis using stochastic logic: A reliable and high speed computing , 2011, 2011 Proceedings - Annual Reliability and Maintainability Symposium.

[18]  Howard C. Card,et al.  Stochastic Neural Computation I: Computational Elements , 2001, IEEE Trans. Computers.

[19]  Yu Wang,et al.  Temporal Performance Degradation under RTN: Evaluation and Mitigation for Nanoscale Circuits , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[20]  S. Datta,et al.  Tunnel transistors for energy efficient computing , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[21]  Hua Dang,et al.  Understanding variance propagation in stochastic computing systems , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

[22]  John Shawe-Taylor,et al.  Generating binary sequences for stochastic computing , 1994, IEEE Trans. Inf. Theory.

[23]  Alan Wood,et al.  The impact of new technology on soft error rates , 2011, 2011 International Reliability Physics Symposium.

[24]  Narayanan Vijaykrishnan,et al.  Tunnel FET technology: A reliability perspective , 2014, Microelectron. Reliab..

[25]  David J. Lilja,et al.  Using stochastic computing to implement digital image processing algorithms , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

[26]  Dmitri E. Nikonov,et al.  Energy efficiency comparison of nanowire heterojunction TFET and Si MOSFET at Lg=13nm, including P-TFET and variation considerations , 2013, 2013 IEEE International Electron Devices Meeting.

[27]  John P. Hayes,et al.  A spectral transform approach to stochastic circuits , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

[28]  Sergio L. Toral Marín,et al.  Stochastic pulse coded arithmetic , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

[29]  Ching-Te Chuang,et al.  Single-trap-induced random telegraph noise for FinFET, Si/Ge Nanowire FET, Tunnel FET, SRAM and logic circuits , 2014, Microelectron. Reliab..

[30]  Jing Guo,et al.  Graphene Nanoribbon FETs: Technology Exploration for Performance and Reliability , 2011, IEEE Transactions on Nanotechnology.

[31]  Brian R. Gaines,et al.  Stochastic computing , 1967, AFIPS '67 (Spring).

[32]  Naresh Shanbhag What is stochastic computation? , 2010, SIGD.

[33]  Hsieh S. Hou A fast recursive algorithm for computing the discrete cosine transform , 1987, IEEE Trans. Acoust. Speech Signal Process..