Fully CMOS-Compatible On-Chip Optical Clock Distribution and Recovery

Clock distribution in the multi-gigahertz range is getting increasingly difficult due to more stringent requirements for skew and jitter on one hand and the deteriorating supply voltage integrity and process variation on the other hand. Global clock network, especially in nanometer CMOS designs with ever increasing die sizes, has become a prominent performance limiter. A potential alternative to traditional interconnect technology for achieving clock distribution beyond 10 GHz while maintaining required skew and jitter budgets is using on-chip optical interconnects. A practical on-chip optical clocking system must be CMOS compatible in order to provide attractive cost effectiveness for system level integration and ease of manufacturing. This paper presents the design of a fully CMOS compatible optical clock distribution and recovery system in a 3.3 V, 0.35-μm CMOS process. Experimental results from the test chip prove the feasibility of providing optical-electrical interface in devices and circuits in a fully CMOS compatible manufacturing environment. Although the test chips were designed in a mature CMOS process technology and the measured performance is low, the test chips demonstrated the feasibility of on-chip optoelectronic integration with fully CMOS compatible process. On-chip optical clock distribution is one of the natural applications of fully CMOS compatible on-chip optical interconnect technology.

[1]  Axel Jantsch,et al.  Interconnect-Centric Design for Advanced SOC and NOC , 2010 .

[2]  H. Masuda,et al.  Rigorous statistical process variation analysis for quarter-/spl mu/m CMOS with advanced TCAD metrology , 1997, 1997 2nd International Workshop on Statistical Metrology.

[3]  M. K. Gowan,et al.  A 65 nm 2-Billion Transistor Quad-Core Itanium Processor , 2009, IEEE Journal of Solid-State Circuits.

[4]  P. Schvan,et al.  An 81Gb/s, 1.2V TIALA-Retimer in Standard 65nm CMOS , 2008, 2008 IEEE Compound Semiconductor Integrated Circuits Symposium.

[5]  Mark Ingels,et al.  A 1-Gb/s, 0.7-/spl mu/m CMOS optical receiver with full rail-to-rail output swing , 1999 .

[6]  Guangwei Yuan,et al.  Characterization of CMOS compatible waveguide-coupled leaky-mode photodetectors , 2006, IEEE Photonics Technology Letters.

[7]  Hiroshi Iwai CMOS scaling towards its limits , 1998, 1998 5th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.98EX105).

[8]  K. De Meyer,et al.  CMOS scaling to 25 nm gate lengths , 2002, The Fourth International Conference on Advanced Semiconductor Devices and Microsystem.

[9]  Duane S. Boning,et al.  Variation issues in on-chip optical clock distribution , 2001, 2001 6th International Workshop on Statistical Methodology (Cat. No.01TH8550).

[10]  Shen-Iuan Liu,et al.  40 Gb/s Transimpedance-AGC Amplifier and CDR Circuit for Broadband Data Receivers in 90 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[11]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[12]  Alina Deutsch,et al.  Designing the best clock distribution network , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[13]  S. Naffziger,et al.  Clock distribution on a dual-core, multi-threaded Itanium/sup /spl reg//-family processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[14]  Chung-Kuan Cheng,et al.  Optimal and efficient buffer insertion and wire sizing , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

[15]  K. Nishi,et al.  Low-loss Silicon Oxynitride Waveguides and Branches for the 850-nm-Wavelength Region , 2008 .

[16]  Jeffrey T. Draper,et al.  Critical Charge Characterization for Soft Error Rate Modeling in 90nm SRAM , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[17]  Jang-Hun Yeh,et al.  Board level H-tree optical clock distribution with substrate mode holograms , 1995 .

[18]  H. Iwai CMOS scaling toward sub-10 nm regime , 2003, The 11th IEEE International Symposium on Electron Devices for Microwave and Optoelectronic Applications, 2003. EDMO 2003..

[19]  Sorin P. Voinigescu,et al.  An 81 Gb / s , 1 . 2 V TIALA-Retimer in Standard 65 nm CMOS , 2008 .

[20]  P. Schvan,et al.  Low-Voltage Topologies for 40-Gb/s Circuits in Nanoscale CMOS , 2007, IEEE Journal of Solid-State Circuits.

[21]  Behzad Razavi,et al.  A comparison of electrical and optical clock networks in nanometer technologies , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[22]  T. Chen,et al.  Waveguide coupled CMOS photodetector for on-chip optical interconnects , 2004, The 17th Annual Meeting of the IEEELasers and Electro-Optics Society, 2004. LEOS 2004..

[23]  D. R. Bowman,et al.  Polycrystalline-silicon integrated photoconductors for picosecond pulsing and gating , 1985, IEEE Electron Device Letters.

[24]  M. Ingels,et al.  A 1-Gb/s, 0.7-μm CM+ OS optical receiver with full rail-to-rail output swing , 1999, IEEE J. Solid State Circuits.

[25]  Parameswaran Ramanathan,et al.  Clock distribution in general VLSI circuits , 1994 .

[26]  Eby G. Friedman,et al.  Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.

[27]  Azita Emami-Neyestanak,et al.  A 90 nm CMOS 16 Gb/s Transceiver for Optical Interconnects , 2008, IEEE Journal of Solid-State Circuits.

[28]  Guangwei Yuan,et al.  Geometry Dependence of CMOS-Compatible, Polysilicon, Leaky-Mode Photodetectors , 2007, IEEE Photonics Technology Letters.

[29]  M. Golden,et al.  A 2.6GHz Dual-Core 64bx86 Microprocessor with DDR2 Memory Support , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[30]  G. Keiser Optical Fiber Communications , 1983 .

[31]  S. Asano,et al.  The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[32]  U. Hilleringmann,et al.  Optoelectronic system integration on silicon: waveguides, photodetectors, and VLSI CMOS circuits on one chip , 1995 .

[33]  H. Wong,et al.  CMOS scaling into the nanometer regime , 1997, Proc. IEEE.

[34]  James D. Meindl,et al.  Electrical and optical clock distribution networks for gigascale microprocessors , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[35]  Kevin L. Lear,et al.  Design of clock recovery circuits for optical clocking in DSM CMOS , 2007, SPIE Microtechnologies.

[36]  Eric S. Fetzer Using Adaptive Circuits to Mitigate Process Variations in a Microprocessor Design , 2006, IEEE Design & Test of Computers.

[37]  H. Nakayama,et al.  High power VCSEL devices for free space optical communications , 2005, Proceedings Electronic Components and Technology, 2005. ECTC '05..

[38]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[39]  Tsong Yueh Chen,et al.  CMOS optoelectronic components for clock distribution , 2010 .

[40]  Gaurav Mittal,et al.  Design of the Power6 Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[41]  A. Chandrakasan,et al.  18Gb/s Optical IO: VCSEL Driver and TIA in 90nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.

[42]  P. Eaton,et al.  Soft error rate mitigation techniques for modern microcircuits , 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).

[43]  S. Tam,et al.  Clock Generation and Distribution of a Dual-Core Xeon Processor with 16MB L3 Cache , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[44]  Y. Taur CMOS scaling beyond 0.1 /spl mu/m: how far can it go? , 1999, 1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453).