A diagnosis method for single logic design errors in gate-level combinational circuits

This paper proposes a method for diagnosing logic design errors in gate-level combinational circuits, and discusses how to correct them. Some previously proposed design error models are explained at gate-level. This diagnosis method includes the vector pair analysis that has been developed for test pattern generation and fault diagnosis for multiple stuck-at faults. In vector pair analysis, logic values due to design errors are regarded as logic values caused by stuck-at faults and its results give some information on how to correct the design errors. However, since diagnosis using vector pair analysis cannot be applied to missing lines, their diagnosis uses another method based on the analysis of controlling values for input vectors with incorrect output responses. We also propose another diagnosis method for locating design errors in smaller areas, by the vector pair analysis. The experimental results for ISCAS'85 benchmark circuits show that the proposed method is effective for single design errors.

[1]  Janusz Rajski,et al.  A method of fault analysis for test generation and fault diagnosis , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Gotaro Odawara,et al.  A Logic Verifier Based on Boolean Comparison , 1986, DAC 1986.

[3]  Kotaro Hirano,et al.  Rectification of Multiple Logic Design Errors in Multiple Output Circuits , 1994, 31st Design Automation Conference.

[4]  Kozo Kinoshita,et al.  Removal of redundancy in logic circuits under classification of undetectable faults , 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

[5]  Einar J. Aas,et al.  Quantifying design quality through design experiments , 1994, IEEE Design & Test of Computers.

[6]  F. Brglez,et al.  A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN , 1985 .

[7]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[8]  Magdy S. Abadir,et al.  Logic design verification via test generation , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Ibrahim N. Hajj,et al.  Diagnosis and Correction of Logic Design Errors in Digital Circuits , 1993, 30th ACM/IEEE Design Automation Conference.

[10]  Gordon L. Smith,et al.  Boolean Comparison of Hardware and Flowcharts , 1982, IBM J. Res. Dev..