A Discussion on SRAM Circuit Design Trend in Deeper Nanometer-Scale Technologies
暂无分享,去创建一个
[1] Naveen Verma,et al. A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[2] Y.H. Chen,et al. A 0.6V 45nm adaptive dual-rail SRAM compiler circuit design for lower VDD_min VLSIs , 2008, 2008 IEEE Symposium on VLSI Circuits.
[3] K. Ishibashi,et al. A 65 nm SoC Embedded 6T-SRAM Design for Manufacturing with Read and Write Cell Stabilizing Circuits , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[4] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.
[5] A. Matsuzawa,et al. A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme , 1996, 1996 Symposium on VLSI Circuits. Digest of Technical Papers.
[6] Koji Nii,et al. A 45nm Low-Standby-Power Embedded SRAM with Improved Immunity Against Process and Temperature Variations , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[7] A. Asenov,et al. Origin of the Asymmetry in the Magnitude of the Statistical Variability of n- and p-Channel Poly-Si Gate Bulk MOSFETs , 2008, IEEE Electron Device Letters.
[8] Kenichi Osada,et al. 65nm Low-Power High-Density SRAM Operable at 1.0V under 3σ Systematic Variation Using Separate Vth Monitoring and Body Bias for NMOS and PMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[9] Kaushik Roy,et al. A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[10] Sang-Won Shim,et al. An Experimental 0.8 V 256-kbit SRAM Macro with Boosted Cell Array Scheme , 2007 .
[11] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[12] H. Pilo,et al. An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.
[13] Pramod Kolar,et al. A 1.1 GHz 12 $\mu$A/Mb-Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS Technology With Integrated Leakage Reduction for Mobile Applications , 2008, IEEE Journal of Solid-State Circuits.
[14] Yong-Gee Ng,et al. A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology , 2009, IEEE Journal of Solid-State Circuits.
[15] Hiroyuki Yamauchi,et al. A 45nm dual-port SRAM with write and read capability enhancement at low voltage , 2007, 2007 IEEE International SOC Conference.
[16] Masashi Horiguchi,et al. Low-voltage limitations of memory-rich nano-scale CMOS LSIs , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.
[17] K. Takeuchi,et al. Analyses of 5σ Vth fluctuation in 65nm-MOSFETs using takeuchi plot , 2008, 2008 Symposium on VLSI Technology.
[18] T. Fukai,et al. Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies , 2007, 2007 IEEE International Electron Devices Meeting.
[19] Rajiv V. Joshi,et al. A Disturb Decoupled Column Select 8T SRAM Cell , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[20] X. Chen,et al. A cost effective 32nm high-K/ metal gate CMOS technology for low power applications with single-metal/gate-first process , 2008, 2008 Symposium on VLSI Technology.
[21] Koji Nii,et al. Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[22] Yue Tan,et al. A 450ps Access-Time SRAM Macro in 45nm SOI Featuring a Two-Stage Sensing-Scheme and Dynamic Power Management , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[23] Wen-Jie Qi,et al. Characteristics of TaN gate MOSFET with ultrathin hafnium oxide (8 /spl Aring/-12 /spl Aring/) , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[24] Martin M. Frank,et al. Advanced high-k dielectric stacks with polySi and metal gates: Recent progress and current challenges , 2006, IBM J. Res. Dev..
[25] S. Burns,et al. An SRAM Design in 65nm and 45nm Technology Nodes Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[26] K.J. Kuhn,et al. Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.
[27] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[28] Uddalak Bhattacharya,et al. A 153Mb-SRAM Design with Dynamic Stability Enhancement and Leakage Reduction in 45nm High-Κ Metal-Gate CMOS Technology , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[29] H. Yamauchi. Embedded SRAM circuit design technologies for a 45nm and beyond , 2007, 2007 7th International Conference on ASIC.
[30] H. Yamauchi. Embedded SRAM trend in nano-scale CMOS , 2007, 2007 IEEE International Workshop on Memory Technology, Design and Testing.
[31] M.J.M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[32] Y. Akiyama,et al. Reduction of Vth variation by work function optimization for 45-nm node SRAM cell , 2008, 2008 Symposium on VLSI Technology.
[33] H. Fujiwara,et al. An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment , 2007, 2007 IEEE Symposium on VLSI Circuits.
[34] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[35] Osamu Takahashi,et al. Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[36] H. Shichijo,et al. 45nm low-power CMOS SoC technology with aggressive reduction of random variation for SRAM and analog transistors , 2008, 2008 Symposium on VLSI Technology.
[37] E. J. W. ter Maten,et al. Importance sampling Monte Carlo simulations for accurate estimation of SRAM yield , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.
[38] N. Vallepalli,et al. A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.