On the premises and prospects of timing speculation

Timing speculation (TS), being able to detect and correct circuit timing errors at runtime, is a promising alternative solution to mitigate the ever-increasing variation effects in nanometer circuits. The potential energy-efficiency improvement, however, is limited by the circuit “timing wall”, a critical operating point caused by conventional circuit optimization techniques (e.g., gate sizing). With a given circuit netlist, we study the bound of the potential benefits provided by TS techniques in this work, which facilitate designers to decide whether it worths the effort to implement a timing-speculative circuit. Experimental results on benchmark circuits demonstrate the effectiveness of the proposed methodology.

[1]  Hai Zhou,et al.  Clock skew scheduling for timing speculation , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Jan A Snyman,et al.  Practical Mathematical Optimization: An Introduction to Basic Optimization Theory and Classical and New Gradient-Based Algorithms , 2005 .

[3]  Deming Chen,et al.  DynaTune: Circuit-level optimization for timing speculation considering dynamic path behavior , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[4]  Todd M. Austin,et al.  Deployment of better than worst-case design: solutions and needs , 2005, 2005 International Conference on Computer Design.

[5]  Rakesh Kumar,et al.  On logic synthesis for timing speculation , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Nam Sung Kim,et al.  Energy-Efficient and Metastability-Immune Timing-Error Detection and Instruction-Replay-Based Recovery Circuits for Dynamic-Variation Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[7]  Josep Torrellas,et al.  Blueshift: Designing processors for timing speculation from the ground up. , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[8]  Qiang Xu,et al.  Re-synthesis for cost-efficient circuit-level timing speculation , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  John Sartori,et al.  Designing a processor from the ground up to allow voltage/reliability tradeoffs , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[10]  Philip N. Strenski,et al.  Uncertainty-aware circuit optimization , 2002, DAC '02.

[11]  Josep Torrellas,et al.  EVAL: Utilizing processors with variation-induced timing errors , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[12]  Jin Hu,et al.  Sensitivity-guided metaheuristics for accurate discrete gate sizing , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  Mary Jane Irwin,et al.  Transistor sizing for low power CMOS circuits , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  James M. Bower,et al.  A Comparative Survey of Automated Parameter-Search Methods for Compartmental Neural Models , 1999, Journal of Computational Neuroscience.

[15]  David Blaauw,et al.  Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.

[16]  Jason Cong,et al.  Logic synthesis for better than worst-case designs , 2009, 2009 International Symposium on VLSI Design, Automation and Test.

[17]  Karthikeyan Sankaralingam,et al.  A unified model for timing speculation: Evaluating the impact of technology scaling, CMOS design style, and fault recovery mechanism , 2010, 2010 IEEE/IFIP International Conference on Dependable Systems & Networks (DSN).