Increasing interposer utilization: A scalable, energy efficient and high bandwidth multicore-multichip integration solution

With the increase in number of processing chips in platform based computation intensive systems such as servers, a seamless, scalable, energy efficient and high bandwidth interconnection network is required. Newly envisioned silicon interposers with Network-on-Chip (NoC) interconnection framework have emerged as an energy efficient technology for 2.5D integration of multiple processor and memory chips, where multiple chips are mounted on another die called the interposer and are interconnected using the metal layers of the interposer die. However, conventional interposer based multichip integration is limited to edge-to-edge connections between the adjacent dies leaving the interposer's routing resources underutilized. In this paper, we propose large scale utilization of the available abundant interposer resources for multichip integration by implementing a hypercube interconnection architecture in an interposer for chip-to-chip communication. Through system level simulations, we demonstrate that such multichip system integrated with interposer can provide high bandwidth and energy-efficient communication under various traffic patterns.

[1]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[2]  David W. Matolak,et al.  A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chip-to-Chip Interconnects , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Matthew Poremba,et al.  Evaluation of using inductive/capacitive-coupling vertical interconnects in 3D network-on-chip , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[5]  James E. Jaussi,et al.  Multi-Gbit I/O and interconnect co-design for power efficient links , 2010, 19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems.

[6]  Yuangang Wang,et al.  Scalable memory fabric for silicon interposer-based multi-core systems , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

[7]  Bok Eng Cheah,et al.  Signaling analysis of inter-chip I/O package routing for Multi-Chip Package , 2012, 2012 4th Asia Symposium on Quality Electronic Design (ASQED).

[8]  Wei Zhang,et al.  UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Natalie D. Enright Jerger,et al.  Enabling interposer-based disintegration of multi-core processors , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[10]  Amlan Ganguly,et al.  A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems , 2017, IEEE Transactions on Computers.

[11]  Chita R. Das,et al.  Hypercube Communication Delay with Wormhole Routing , 1994, IEEE Trans. Computers.

[12]  Natalie D. Enright Jerger,et al.  Interconnect-Memory Challenges for Multi-chip, Silicon Interposer Systems , 2015, MEMSYS.

[13]  Joonyoung Kim,et al.  HBM: Memory solution for bandwidth-hungry processors , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).

[14]  Natalie D. Enright Jerger,et al.  NoC Architectures for Silicon Interposer Systems: Why Pay for more Wires when you Can Get them (from your interposer) for Free? , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

[15]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[16]  Mauricio Hanzich,et al.  Broadcast-Enabled Massive Multicore Architectures: A Wireless RF Approach , 2015, IEEE Micro.

[17]  Ted H. Szymanski,et al.  An Analysis of Hypermesh NoCs in FPGAs , 2015, IEEE Transactions on Parallel and Distributed Systems.

[18]  Radu Marculescu,et al.  Low-latency wireless 3D NoCs via randomized shortcut chips , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[19]  Justin R. Rattner Concurrent processing: a new direction in scientific computing in afips conference proceedings , 1985 .