NBTI-aware circuit node criticality computation

For sub-65nm technology nodes, Negative Bias Temperature Instability (NBTI) has become a primary limiting factor of circuit lifetime. During the past few years, researchers have spent considerable effort on accurate modeling and characterization of circuit delay degradation caused by NBTI at different design levels. The search for techniques and methodologies which can aid in effectively minimizing the NBTI effect on circuit delay is still underway. In this work, we present the usage of node criticality computation to drive NBTI-aware timing analysis and optimization. Circuits that have undergone this optimization flow show strong resistance to NBTI delay degradation. For the first time, this work proposes a node criticality computation algorithm under an NBTI-aware timing analysis and optimization framework. Our work provides answers to the following yet unaddressed questions: (a) what is the definition of node criticality in a circuit under the NBTI effect? (b) how do we identify the critical nodes that, once protected, will be immune to NBTI timing degradation? and (c) what are the NBTI effect attenuation approaches? Experimental results indicate that by protecting the critical nodes found by our proposed methodology, circuit delay degradation can be reduced by up to 50%. Combined with peak temperature reduction, the delay degradation can be be further improved.

[1]  C.H. Kim,et al.  An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[2]  Shekhar Y. Borkar,et al.  Electronics beyond nano-scale CMOS , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[3]  B.C. Paul,et al.  Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.

[4]  Kwang-Ting Cheng,et al.  Fast statistical timing analysis by probabilistic event propagation , 2001, DAC '01.

[5]  Lawrence T. Pileggi,et al.  STAC: statistical timing analysis with correlation , 2004, Proceedings. 41st Design Automation Conference, 2004..

[6]  Muhammad Ashraful Alam,et al.  A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..

[7]  Sharad Malik,et al.  Statistical timing analysis of combinational circuits , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

[8]  Hongliang Chang,et al.  Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[9]  Robert K. Brayton,et al.  Hierarchical functional timing analysis , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[10]  Steven M. Nowick,et al.  ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.

[11]  Li Shang,et al.  ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[12]  Andrew R. Conn,et al.  Formulation of static circuit optimization with reduced size, degeneracy and redundancy by timing graph manipulation , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[13]  Kurt Keutzer,et al.  A general probabilistic framework for worst case timing analysis , 2002, DAC '02.

[14]  D. M. H. Walker,et al.  Timing analysis of combinational circuits including capacitive coupling and statistical process variation , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[16]  David Hung-Chang Du,et al.  Path sensitization in critical path problem , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[17]  Yu Cao,et al.  An Integrated Modeling Paradigm of Circuit Reliability for 65nm CMOS Technology , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[18]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[19]  Sani R. Nassif,et al.  Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[20]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  David Hung-Chang Du,et al.  Efficient Algorithms for Extracting the K Most Critical Paths in Timing Analysis , 1989, 26th ACM/IEEE Design Automation Conference.

[22]  David Blaauw,et al.  Slope propagation in static timing analysis , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Yu Cao,et al.  The Impact of NBTI on the Performance of Combinational and Sequential Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[24]  Jinjun Xiong,et al.  Criticality computation in parameterized statistical timing , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[25]  D. Schroder,et al.  Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing , 2003 .

[26]  Yu Cao,et al.  Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.

[27]  Andrzej J. Strojwas,et al.  Statistical critical path analysis considering correlations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[28]  Masahiro Fukui,et al.  A statistical static timing analysis considering correlations between delays , 2001, ASP-DAC '01.

[29]  V. Reddy,et al.  A comprehensive framework for predictive modeling of negative bias temperature instability , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[30]  D. Kwong,et al.  Dynamic NBTI of PMOS transistors and its impact on device lifetime , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[31]  K. Yamaguchi,et al.  The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).

[32]  P. Nicollian,et al.  Material dependence of hydrogen diffusion: implications for NBTI degradation , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[33]  Norman P. Jouppi,et al.  Timing Analysis for nMOS VLSI , 1983, 20th Design Automation Conference Proceedings.

[34]  Robert B. Hitchcock,et al.  Timing Verification and the Timing Analysis Program , 1982, 19th Design Automation Conference.

[35]  H. Puchner,et al.  NBTI reliability analysis for a 90 nm CMOS technology , 2004, Proceedings of the 30th European Solid-State Circuits Conference (IEEE Cat. No.04EX850).

[36]  Chung-Sheng Li,et al.  Statistical analysis of timing rules for high-speed synchronous interconnects , 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

[37]  Kaushik Roy,et al.  Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[38]  Kevin Skadron,et al.  Differentiating the roles of IR measurement and simulation for power and temperature-aware design , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

[39]  Lawrence T. Pileggi,et al.  Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[40]  Rung-Bin Lin,et al.  A new statistical approach to timing analysis of VLSI circuits , 1998, Proceedings Eleventh International Conference on VLSI Design.

[41]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[42]  David Blaauw,et al.  Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.

[43]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[44]  M.A. Alam,et al.  A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.

[45]  Sachin S. Sapatnekar,et al.  Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.

[46]  V. Rao,et al.  A new oxide trap-assisted NBTI degradation model , 2005, IEEE Electron Device Letters.