Reliable Nonvolatile Memories: Techniques and Measures

<italic>Editor’s note:</italic> Reliability continues to be a severe challenge in the development of emerging memories. In this article, the authors offer a comprehensive survey of reliability enhancement techniques for three mainstream emerging memories and a summary of the possible future research directions in this area. <italic>—Yiran Chen, Duke University</italic>

[1]  Ronald F. DeMara,et al.  Survey of STT-MRAM Cell Design Strategies , 2017, ACM J. Emerg. Technol. Comput. Syst..

[2]  Kaushik Roy,et al.  Failure Mitigation Techniques for 1T-1MTJ Spin-Transfer Torque MRAM Bit-cells , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Kosuke Suzuki,et al.  A Survey of Trends in Non-Volatile Memory Technologies: 2000-2014 , 2015, 2015 IEEE International Memory Workshop (IMW).

[4]  Patrick Ndai,et al.  Design Paradigm for Robust Spin-Torque Transfer Magnetic RAM (STT MRAM) From Circuit/Architecture Perspective , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Cong Xu,et al.  Design implications of memristor-based RRAM cross-point structures , 2011, 2011 Design, Automation & Test in Europe.

[6]  Karin Strauss,et al.  Zombie memory: Extending memory lifetime by reviving dead blocks , 2013, ISCA.

[7]  Cong Xu,et al.  Impact of Cell Failure on Reliable Cross-Point Resistive Memory Design , 2015, ACM Trans. Design Autom. Electr. Syst..

[8]  Moinuddin K. Qureshi Pay-As-You-Go: Low-overhead hard-error correction for phase change memories , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[9]  Yiran Chen,et al.  State-restrict MLC STT-RAM designs for high-reliable high-performance memory system , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  Jun Yang,et al.  Mitigating Write Disturbance in Super-Dense Phase Change Memories , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

[11]  Meng-Fan Chang,et al.  Fast-Write Resistive RAM (RRAM) for Embedded Applications , 2011, IEEE Design & Test of Computers.

[12]  Vijayalakshmi Srinivasan,et al.  Efficient scrub mechanisms for error-prone emerging memories , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[13]  Jun Yang,et al.  Exploit imbalanced cell writes to mitigate write disturbance in dense Phase Change Memory , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[14]  Chaitali Chakrabarti,et al.  Optimizing latency, energy, and reliability of 1T1R ReRAM through appropriate voltage settings , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[15]  Jeffrey S. Vetter,et al.  Opportunities for Nonvolatile Memory Systems in Extreme-Scale High-Performance Computing , 2015, Computing in Science & Engineering.

[16]  Yiran Chen,et al.  Persistent and Nonpersistent Error Optimization for STT-RAM Cell Design , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Jiwu Shu,et al.  Aegis: Partitioning data block for efficient recovery of stuck-at-faults in phase change memory , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[18]  Yiran Chen,et al.  Emerging non-volatile memories: Opportunities and challenges , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[19]  Jun Yang,et al.  Phase-Change Technology and the Future of Main Memory , 2010, IEEE Micro.

[20]  Tao Zhang,et al.  Overcoming the challenges of crossbar resistive memory architectures , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[21]  Hsien-Hsin S. Lee,et al.  Tri-level-cell phase change memory: toward an efficient and reliable memory system , 2013, ISCA.

[22]  Cong Xu,et al.  Modeling framework for cross-point resistive memory design emphasizing reliability and variability issues , 2015, The 20th Asia and South Pacific Design Automation Conference.

[23]  Yu Wang,et al.  ADAMS: Asymmetric differential STT-RAM cell structure for reliable and high-performance applications , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[24]  Jun Yang,et al.  ReadDuo: Constructing Reliable MLC Phase Change Memory through Fast and Robust Readout , 2016, 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[25]  K. Gopalakrishnan,et al.  Phase change memory technology , 2010, 1001.1164.

[26]  Mehdi Baradaran Tahoori,et al.  Read disturb fault detection in STT-MRAM , 2014, 2014 International Test Conference.

[27]  Norman P. Jouppi,et al.  FREE-p: Protecting non-volatile memory against both hard and soft errors , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[28]  Hsien-Hsin S. Lee,et al.  SAFER: Stuck-At-Fault Error Recovery for Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

[29]  Arijit Raychowdhury,et al.  Analysis of Defects and Variations in Embedded Spin Transfer Torque (STT) MRAM Arrays , 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[30]  Haralampos Pozidis,et al.  Phase-change memory: Feasibility of reliable multilevel-cell storage and retention at elevated temperatures , 2015, 2015 IEEE International Reliability Physics Symposium.

[31]  Dong Li,et al.  A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches , 2015, IEEE Transactions on Parallel and Distributed Systems.

[32]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[33]  T. Takagi,et al.  Conductive Filament Scaling of ${\rm TaO}_{\rm x}$ Bipolar ReRAM for Improving Data Retention Under Low Operation Current , 2013, IEEE Transactions on Electron Devices.

[34]  Siegfried Selberherr,et al.  Modeling Emerging Non-volatile Memories: Current Trends and Challenges , 2012 .

[35]  Sachhidh Kannan,et al.  Sneak-Path Testing of Crossbar-Based Nonvolatile Random Access Memories , 2013, IEEE Transactions on Nanotechnology.

[36]  Mohammad Gh. Mohammad Fault model and test procedure for phase change memory , 2011, IET Comput. Digit. Tech..

[37]  Vijayalakshmi Srinivasan,et al.  Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[38]  Karin Strauss,et al.  Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.

[39]  Tao Li,et al.  Characterizing and mitigating the impact of process variations on phase change based memory systems , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[40]  Kaushik Roy,et al.  Device/circuit/architecture co-design of reliable STT-MRAM , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[41]  Hyunjin Lee,et al.  Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[42]  Takayuki Kawahara,et al.  Scalable Spin-Transfer Torque RAM Technology for Normally-Off Computing , 2011, IEEE Design & Test of Computers.

[43]  Chung H. Lam,et al.  Storage Class Memory , 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

[44]  Rami G. Melhem,et al.  RDIS: A recursively defined invertible set scheme to tolerate multiple stuck-at faults in resistive memory , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

[45]  Jun Yang,et al.  Selective restore: An energy efficient read disturbance mitigation scheme for future STT-MRAM , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).