Hybrid Built-In Self-Test and Test Generation Techniques for Digital Systems
暂无分享,去创建一个
[1] Zebo Peng,et al. System-level Test and Validation of Hardware/Software Systems , 2005 .
[2] Peter Bunus,et al. Debugging techniques for equation-based languages , 2004 .
[3] Sandeep Koranne,et al. On test scheduling for core-based SOCs , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[4] Norbert Giambiasi,et al. Test pattern generation for behavioral descriptions in VHDL , 1991 .
[5] Stephen Y. H. Su,et al. Functional Testing Techniques for Digital LSI/VLSI Systems , 1984, 21st Design Automation Conference Proceedings.
[6] Yervant Zorian,et al. Low power/energy BIST scheme for datapaths , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[7] Thomas W. Williams,et al. VLSI Testing , 1984, Computer.
[8] Jacob Savir,et al. Built In Test for VLSI: Pseudorandom Techniques , 1987 .
[9] Fabrizio Ferrandi,et al. Implicit test generation for behavioral VHDL models , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[10] Julien Pouget,et al. Defect-aware SOC test scheduling , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..
[11] Petru Eles,et al. Test Generation : A Hierarchical Approach , 2005 .
[12] Raimund Ubar,et al. Fast Test Pattern Generation for Sequential Circuits Using Decision Diagram Representations , 2000, J. Electron. Test..
[13] Raimund Ubar,et al. Fast test cost calculation for hybrid BIST in digital systems , 2001, Proceedings Euromicro Symposium on Digital Systems Design.
[14] Patrick Girard,et al. A test vector inhibiting technique for low energy BIST design , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[15] Edward J. McCluskey,et al. Design for Autonomous Test , 1981, IEEE Transactions on Computers.
[16] Ling Lin,et al. Management of 1-D Sequence Data- From Discrete to Continuous , 1999 .
[17] Hans-Joachim Wunderlich,et al. Minimized Power Consumption for Scan-Based BIST , 2000, J. Electron. Test..
[18] Bernard Courtois,et al. Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers , 1995, IEEE Trans. Computers.
[19] Janak H. Patel,et al. HITEC: a test generation package for sequential circuits , 1991, Proceedings of the European Conference on Design Automation..
[20] Johan Jenvald. Methods and tools in computer-supported taskforce training , 1999 .
[21] Richard J. Lipton,et al. Hints on Test Data Selection: Help for the Practicing Programmer , 1978, Computer.
[22] Raimund Ubar,et al. A CAD SYSTEM FOR TEACHING DIGITAL TEST , 1998 .
[23] Sheldon B. Akers,et al. Test set embedding in a built-in self-test environment , 1989, Proceedings. 'Meeting the Tests of Time'., International Test Conference.
[24] Wayne M. Needham. Nanometer Technology Challenges for Test and Test Equipment , 1999, Computer.
[25] Johan Åberg,et al. Live help systems : an approach to intelligent help for Web information systems , 2002 .
[26] John P. Robinson,et al. Design of low cost ROM based test generators , 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.
[27] Patrick Girard,et al. Low power testing of VLSI circuits: problems and solutions , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).
[28] Erik Jan Marinissen,et al. Test Infrastructure Design for the Nexperia? Home Platform PNX8550 System Chip , 2004, DATE.
[29] Giovanni Squillero,et al. High-level observability for effective high-level ATPG , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[30] Irith Pomeranz,et al. 3-weight Pseudo-random Test Generation Based on a Deterministic Test Set for Combinational and Sequential Circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[31] James A. Rowson,et al. Hardware / Software Co-Simulation , 2000 .
[32] Jonas Mellin,et al. Resource-Predictable and Efficient Monitoring of Events , 2004 .
[33] Krishna Shenai,et al. VLSI Technology , 1999, The VLSI Handbook.
[34] David L. Dill. What's between simulation and formal verification? , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[35] Sandeep K. Gupta,et al. ATPG for heat dissipation minimization during test application , 1994, Proceedings., International Test Conference.
[36] Yong-Bin Kim,et al. Compression of partially specified test vectors in an ATE environment , 2003, Proceedings of the 20th IEEE Instrumentation Technology Conference (Cat. No.03CH37412).
[37] Howard C. Card,et al. Cellular automata-based pseudorandom number generators for built-in self-test , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[38] Nilanjan Mukherjee,et al. Resource allocation and test scheduling for concurrent test of core-based SOC design , 2001, Proceedings 10th Asian Test Symposium.
[39] Patrick Girard,et al. A modified clock scheme for a low power BIST test pattern generator , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
[40] Diana Szentiványi. Performance Studies of Fault-Tolerant Middleware , 2005 .
[41] Fredrik Karlsson,et al. Method configuration: method and computerized tool support , 2005 .
[42] Randal E. Bryant,et al. Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.
[43] Peter Ambjorn Fritzson,et al. Towards a distributed programming environment based on incremental compilation (debugging, prettyprinting, interactive) , 1984 .
[44] Rita Kovordanyi,et al. Modeling and simulating inhibitory mechanisms in mental image reinterpretation : towards cooperative human-computer creativity , 1999 .
[45] Alberto L. Sangiovanni-Vincentelli,et al. Minimizing production test time to detect faults in analog circuits , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[46] Ulf Nilsson,et al. Abstract Interpretation & Abstract Machines: Contribution to a Methodology for the Implementation of Logic Programs , 1992 .
[47] Jianwen Zhu,et al. Specification and Design of Embedded Systems , 1998, Informationstechnik Tech. Inform..
[48] Raimund Ubar. Multi-valued simulation of digital circuits , 1997, 1997 21st International Conference on Microelectronics. Proceedings.
[49] Raimund Ubar,et al. Test cost minimization for hybrid BIST , 2000, Proceedings IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.
[50] Jörgen Lindström,et al. Does distance matter? On geographical dispersion in organisations , 1999 .
[51] Fredrik Nilsson. Strategi och ekonomisk styrning : en studie av hur ekonomiska styrsystem utformas och används efter företagsförvärv , 1997 .
[52] Choong-ho Yi,et al. Modelling object-oriented dynamic systems using a logic-based framework , 2002 .
[53] Sadiq M. Sait,et al. Iterative computer algorithms with applications in engineering - solving combinatorial optimization problems , 2000 .
[54] David Flynn,et al. AMBA: enabling reusable on-chip designs , 1997, IEEE Micro.
[55] C. Dufaza,et al. LFSROM: A hardware test pattern generator for deterministic ISCAS85 test sets , 1993, Proceedings of 1993 IEEE 2nd Asian Test Symposium (ATS).
[56] Niklas Hallberg,et al. Incorporating user values in the design of information systems and services in the public sector : a methods approach , 1999 .
[57] Annika Flycht-Eriksson,et al. Design and use of ontologies in information-providing dialogue systems , 2004 .
[58] William K. Lam. Hardware Design Verification: Simulation and Formal Method-Based Approaches (Prentice Hall Modern Semiconductor Design Series) , 2005 .
[59] Raimund Ubar,et al. Test Synthesis with Alternative Graphs , 1996, IEEE Des. Test Comput..
[60] Peter Duzy,et al. The Synthesis Approach to Digital System Design , 1992 .
[61] C. L. Chen,et al. Linear Dependencies in Linear Feedback Shift Registers , 1986, IEEE Transactions on Computers.
[62] Erik G. Larsson,et al. An Integrated Framework for the Design and Optimization of SOC Test Solutions , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[63] Petru Eles,et al. An Approach to System-Level DFT , 2005 .
[64] Jimmy Tjäder,et al. Systemimplementering i praktiken : en studie av logiker i fyra projekt , 1999 .
[65] J. Paul Roth,et al. Diagnosis of automata failures: a calculus and a method , 1966 .
[66] H. Wunderlich,et al. Bit-flipping BIST , 1996, ICCAD 1996.
[67] Luciano Lavagno,et al. Behavioral-level test vector generation for system-on-chip designs , 2000, Proceedings IEEE International High-Level Design Validation and Test Workshop (Cat. No.PR00786).
[68] Raimund Ubar,et al. Energy minimization for hybrid BIST in a system-on-chip test environment , 2005, European Test Symposium (ETS'05).
[69] Mikael Ericsson,et al. Supporting the use of design knowledge : an assessment of commenting agents , 1999 .
[70] Nur A. Touba,et al. Synthesis of mapping logic for generating transformed pseudo-random patterns for BIST , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).
[71] S. Chakravarty,et al. Two techniques for minimizing power dissipation in scan circuits during test application , 1994, Proceedings of IEEE 3rd Asian Test Symposium (ATS).
[72] Yervant Zorian,et al. PSBIST: A partial-scan based built-in self-test scheme , 1993, Proceedings of IEEE International Test Conference - (ITC).
[73] Krishnendu Chakrabarty,et al. Deterministic Built-in Pattern Generation for Sequential Circuits , 1999, J. Electron. Test..
[74] João Paulo Teixeira,et al. RTL-Based Functional Test Generation for High Defects Coverage in Digital Systems , 2001, J. Electron. Test..
[75] F. Glover,et al. In Modern Heuristic Techniques for Combinatorial Problems , 1993 .
[76] Mikael Pettersson,et al. Compiling Natural Semantics , 1999, Lecture Notes in Computer Science.
[77] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[78] Johnny J. LeBlanc,et al. LOCST: A Built-In Self-Test Technique , 1984, IEEE Design & Test of Computers.
[79] James B. Angell,et al. Enhancing Testability of Large-Scale Integrated Circuits via Test Points and Additional Logic , 1973, IEEE Transactions on Computers.
[80] Petru Eles,et al. Hybrid BIST test scheduling based on defect probabilities , 2004, 13th Asian Test Symposium.
[81] Luigi Carro,et al. Test planning and design space exploration in a core-based environment , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[82] James R. Armstrong,et al. Functional Fault Modeling and Simulation for VLSI Devices , 1985, 22nd ACM/IEEE Design Automation Conference.
[83] David Bryan,et al. Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.
[84] Anneli Hagdahl,et al. Development of IT-supported Inter-organisational Collaboration: A Case Study in the Swedish Public Sector , 2002 .
[85] Gajski,et al. Guest Editors' Introduction: New VLSI Tools , 1983, Computer.
[86] Lars Degerstedt,et al. Tabulation-based Logic Programming : A Multi-level View of Query Answering , 1996 .
[87] John P. Hayes,et al. Hierarchical test generation using precomputed tests for modules , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[88] Melvin A. Breuer,et al. Digital systems testing and testable design , 1990 .
[89] Bengt Johnsson,et al. The human-computer interface in commercial systems : Investigations of dialogue design factors and usability with alphanumeric display terminals , 1981 .
[90] James R. Armstrong,et al. Behavioral fault simulation in VHDL , 1991, DAC '90.
[91] Tore Risch,et al. Compilation of multiple file queries in a meta-database system , 1978 .
[92] Paul Pop,et al. Analysis and Synthesis of Communication-Intensive Heterogeneous Real-Time Systems , 2003 .
[93] Fabrizio Ferrandi,et al. Functional test generation for behaviorally sequential models , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[94] Mikael Cäker,et al. Management accounting as constructing and opposing customer focus : three case studies on management accounting and customer relations , 2005 .
[95] Krishnendu Chakrabarty,et al. Test scheduling for core-based systems using mixed-integer linearprogramming , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[96] Asmus Pandikow,et al. A Generic Principle for Enabling Interoperability of Structured and Object-Oriented Analysis and Design Tools , 2002 .
[97] Jacob A. Abraham,et al. A unified framework for design validation and manufacturing test , 1996, Proceedings International Test Conference 1996. Test and Design Validity.
[98] Pär J. Ågerfalk. Information Systems Actability: Understanding Information Technology as a Tool for Business Action and Communication , 2003 .
[99] Vivian Vimarlund,et al. An economic perspective on the analysis of impacts of information technology : from case studies in health-care towards general models and theories , 1999 .
[100] Ronald S. Gyurcsik,et al. Optimal ordering of analog integrated circuit tests to minimize test time , 1991, 28th ACM/IEEE Design Automation Conference.
[101] Raimund Ubar,et al. A hybrid BIST architecture and its optimization for SoC testing , 2002, Proceedings International Symposium on Quality Electronic Design.
[102] John P. Hayes,et al. Testing ICs: Getting to the Core of the Problem , 1996, Computer.
[103] Paolo Prinetto,et al. GATTO: a genetic algorithm for automatic test pattern generation for large synchronous sequential circuits , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[104] Elizabeth M. Rudnick,et al. Fast sequential circuit test generation using high-level and gate-level techniques , 1998, Proceedings Design, Automation and Test in Europe.
[105] Stefan Holmlid,et al. Adapting users : towards a theory of use quality , 2002 .
[106] Eric Lindbloom,et al. Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test , 1983, IBM J. Res. Dev..
[107] Janusz Rajski,et al. Logic BIST for large industrial designs: real issues and case studies , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[108] Sumit Ghosh,et al. On behavior fault modeling for digital designs , 1991, J. Electron. Test..
[109] P. Doherty. NML3 : a non-monotonic formalism with explicit defaults , 1991 .
[110] Janusz Rajski,et al. Decompression of test data using variable-length seed LFSRs , 1995, Proceedings 13th IEEE VLSI Test Symposium.
[111] R. Ubar,et al. An improved estimation methodology for hybrid BIST cost calculation , 2004, Proceedings Norchip Conference, 2004..
[112] Christina Ölvingson,et al. On development of information systems with GIS functionality in public health informatics : a requirements engineering approach , 2003 .
[113] Sture Hägglund,et al. Contributions to the development of methods and tools for interactive design of applications software , 1980 .
[114] F. C. Hennine. Fault detecting experiments for sequential circuits , 1964, SWCT 1964.
[115] B. Koneman,et al. LFSR-Coded Test Patterns for Scan Designs , 1993 .
[116] Linda Askenäs,et al. The roles of IT : studies of organising when implementing and using enterprise systems , 2004 .
[117] Peter Harrod,et al. Testing reusable IP-a case study , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[118] Mikael Lind,et al. Från system till process kriterier för processbestämning vid verksamhetsanalys , 2001 .
[119] Nur A. Touba,et al. Transformed pseudo-random patterns for BIST , 1995, Proceedings 13th IEEE VLSI Test Symposium.
[120] Fred W. Glover,et al. A user's guide to tabu search , 1993, Ann. Oper. Res..
[121] Hans-Joachim Wunderlich,et al. Pattern generation for a deterministic BIST scheme , 1995, ICCAD.
[122] Kuen-Jong Lee,et al. Broadcasting test patterns to multiple circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[123] F. Brglez,et al. A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN , 1985 .
[124] Irith Pomeranz,et al. COMPACTEST: a method to generate compact test sets for combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[125] Sigrid Eldh. Software Testing Techniques , 2007 .
[126] Zebo Peng,et al. Report on benchmark identification and planning of experiments to be performed , 2002 .
[127] Luis Alejandro Cortés,et al. Verification and Scheduling Techniques for Real-Time Embedded Systems , 2005 .
[128] Jaime Villegas,et al. Simulation supported industrial training from an organisational learning perspective : development and evaluation of the SSIT method , 1996 .
[129] Nahid Shahmehri,et al. Generalized algorithmic debugging , 1991 .
[130] Petru Eles,et al. System Synthesis with VHDL , 1997 .
[131] Massoud Pedram,et al. Power minimization in IC design: principles and applications , 1996, TODE.
[132] C. D. Gelatt,et al. Optimization by Simulated Annealing , 1983, Science.
[133] Raimund Ubar,et al. Using Tabu Search Method for Optimizing the Cost of Hybrid BIST , 2001 .
[134] Niraj K. Jha,et al. A new transition count method for testing of logic circuits , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[135] Yervant Zorian,et al. A distributed BIST control scheme for complex VLSI devices , 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.
[136] Hideo Fujiwara,et al. Test resource partitioning and optimization for SOC designs , 2003, Proceedings. 21st VLSI Test Symposium, 2003..
[137] Pernilla Qvarfordt,et al. Eyes on multimodal interaction , 2004 .
[138] Ronald L. Rivest,et al. Orthogonal Packings in Two Dimensions , 1980, SIAM J. Comput..
[139] Raimund Ubar,et al. Hybrid BIST optimization for core-based systems with test pattern broadcasting , 2004, Proceedings. DELTA 2004. Second IEEE International Workshop on Electronic Design, Test and Applications.
[140] Joakim Gustafsson,et al. Extending temporal action logic , 2001 .
[141] Paul H. Bardell,et al. Self-Testing of Multichip Logic Modules , 1982, International Test Conference.
[142] Dimiter Driankov,et al. Towards a many‐valued logic of quantified belief: The information lattice , 1991 .
[143] Erland Jungert,et al. Synthesizing database structures from a user oriented data model , 1980 .
[144] Yervant Zorian,et al. Testing embedded-core based system chips , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[145] Mark R. Greenstreet,et al. Formal verification in hardware design: a survey , 1999, TODE.
[146] John Paul Shen,et al. Inductive Fault Analysis of MOS Integrated Circuits , 1985, IEEE Design & Test of Computers.
[147] Wojciech Maly,et al. Fault characterization of standard cell libraries using inductive contamination analysis (ICA) , 1996, Proceedings of 14th VLSI Test Symposium.
[148] Rohit Kapur,et al. Design of an efficient weighted random pattern generation system , 1994, Proceedings., International Test Conference.
[149] Irith Pomeranz,et al. A Low Power Pseudo-Random BIST Technique , 2003, J. Electron. Test..
[150] Paolo Prinetto,et al. Exploiting Behavioral Information in Gate-Level ATPG , 1999, J. Electron. Test..
[151] Pär Emanuelson,et al. Performance enhancement in a well-structured pattern matcher through partial evaluation , 1980 .
[152] Ping-Chung Li,et al. Electromigration: the time bomb in deep-submicron ICs , 1996 .
[153] Nur A. Touba,et al. Reducing power dissipation during test using scan chain disable , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
[154] Lin Padgham,et al. Non-monotonic inheritance for an object-oriented knowledge-base , 1989 .
[155] Sofie Pilemalm,et al. Information Technology for Non-Profit Organisations: Extended Participatory Design of an Information System for Trade Union Shop Stewards , 2002 .
[156] J. Mucha,et al. Built-In Test for Complex Digital Integrated Circuits , 1979, Fifth European Solid State Circuits Conference - ESSCIRC 79.
[157] Raimund Ubar,et al. Sequential circuit test generation using decision diagram models , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).
[158] Henrik Nilsson,et al. Declarative debugging for lazy functional languages , 1998 .
[159] Jonas Hallberg,et al. Timing issues in high-level synthesis , 1998 .
[160] Raimund Ubar. Combining functional and structural approaches in test generation for digital systems , 1998 .
[161] Mikael Kindborg,et al. Concurrent comics : programming of social agents by children , 2003 .
[162] Srinivas Devadas,et al. Simulation vector generation from HDL descriptions for observability-enhanced statement coverage , 1999, DAC '99.
[163] Carl-Johan Petri,et al. Organizational information provision : managing mandatory and discretionary utilization of information technology , 2001 .
[164] Fred W. Glover,et al. Future paths for integer programming and links to artificial intelligence , 1986, Comput. Oper. Res..
[165] Lee Whetsel,et al. Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[166] Henryk Jan Komorowski,et al. A specification of an abstract Prolog machine and its application to partial evaluation , 1981 .
[167] Eva L. Ragnemalm,et al. Student modelling based on collaborative dialogue with a learning companion , 1999 .
[168] João Paulo Teixeira,et al. Low-energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).
[169] Hans-Joachim Wunderlich,et al. Multiple distributions for biased random test patterns , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.
[170] Hans-Joachim Wunderlich,et al. Mixed-Mode BIST Using Embedded Processors , 1998, J. Electron. Test..
[171] John P. Hayes,et al. Hierarchical test generation using precomputed testsd for modules , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.
[172] Michael Bershteyn. Calculation of multiple sets of weights for weighted random testing , 1993, Proceedings of IEEE International Test Conference - (ITC).
[173] Erik Anders Tengvald,et al. The design of expert planning systems: an experimental operations planning system for turning , 1984 .
[174] Yuanhui Sun. Automatic Behavioral Test Generation By Using a Constraint Solver , 2001 .
[175] Shi-Yu Huang,et al. Formal Equivalence Checking and Design Debugging , 1998 .
[176] Erik Jan Marinissen,et al. A structured and scalable mechanism for test access to embedded reusable cores , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[177] Yervant Zorian,et al. Overview of the IEEE P1500 standard , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[178] Juha Takkinen,et al. From information management to task management in electronic mail , 2002 .
[179] Pawel Pietrzak,et al. A type-based framework for locating errors in constraint logic programs , 2002 .
[180] Stefan Cronholm,et al. Metodverktyg och användbarhet : en studie av datorstödd metodbaserad systemutveckling , 1998 .
[181] Ishak Aris,et al. Challenges and directions for testing IC , 2004, Integr..
[182] Mark Willard Johnson. High Level Test Generation Using Software Testing Metrics , 1995 .
[183] Franco Fummi,et al. A Timing-Accurate HW/SW Co-Simulation of an ISS with SystemC , 2004 .
[184] Rego Granlund,et al. Monitoring distributed teamwork training , 2002 .
[185] Zhiping Wang. Capacity-constrained production-inventory systems : modelling and analysis in both a traditional and an e-business context , 2004 .
[186] Oscar H. Ibarra,et al. Polynomially Complete Fault Detection Problems , 1975, IEEE Transactions on Computers.
[187] H. T. Nagle,et al. Design for testability and built-in self test: a review , 1989 .
[188] Aarti Gupta,et al. Formal hardware verification methods: A survey , 1992, Formal Methods Syst. Des..
[189] Magnus Merkel,et al. Understanding and enhancing translation by parallel text processing , 1999 .
[190] Alexander Miczo,et al. The Sequential ATPG: A Theoretical Limit , 1983, International Test Conference.
[191] Hideo Fujiwara,et al. On the Acceleration of Test Generation Algorithms , 1983, IEEE Transactions on Computers.
[192] Farid N. Najm,et al. Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[193] Bashir M. Al-Hashimi,et al. Scan architecture for shift and capture cycle power reduction , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..
[194] Zebo Peng,et al. High-level and hierarchical test sequence generation , 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..
[195] Jacob A. Abraham,et al. Test Generation for Microprocessors , 1980, IEEE Transactions on Computers.
[196] Erik Herzog,et al. An approach to systems engineering tool data representation and exchange , 2004 .
[197] Prabhakar Goel,et al. An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits , 1981, IEEE Transactions on Computers.
[198] Erik Jan Marinissen,et al. Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[199] C. Reeves. Modern heuristic techniques for combinatorial problems , 1993 .
[200] Anantha P. Chandrakasan,et al. Low-power CMOS digital design , 1992 .
[201] Vishwani D. Agrawal,et al. A Tutorial on Built-In Self-Test, Part 2: Applications , 1993, IEEE Des. Test Comput..
[202] Silvia Coradeschi,et al. Anchoring symbols to sensory data , 1999 .
[203] Kuoshu Chiu,et al. Test infrastructure design for the Nexperia/spl trade/ home platform PNX8550 system chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[204] Bernard Courtois,et al. Generation of Vector Patterns Through Reseeding of Multipe-Polynominal Linear Feedback Shift Registers , 1992 .
[205] Janak H. Patel,et al. Architectural level test generation for microprocessors , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[206] Sharad Malik,et al. A Survey of Optimization Techniques Targeting Low Power VLSI Circuits , 1995, 32nd Design Automation Conference.
[207] Jörgen Hansson,et al. Value-driven multi-class overload management in real-time database systems , 1999 .
[208] Petru Eles,et al. Hybrid BIST time minimization for core-based systems with STUMPS architecture , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.
[209] Li Shen,et al. A Functional Testing Method for Microprocessors , 1988, IEEE Trans. Computers.
[210] Prab Varma,et al. A structured test re-use methodology for core-based system chips , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[211] D.P. Siewiorek,et al. Testing of digital systems , 1981, Proceedings of the IEEE.
[212] Hu Chuan-Gan,et al. On The Shift Register Sequences , 2004 .
[213] Ewa Braf,et al. Knowledge demanded for action : studies of knowledge mediation in organisations , 2004 .
[214] M. Jacomino,et al. Fault detection in CMOS circuits by consumption measurement , 1989 .
[215] Raimund Ubar,et al. An Iterative Approach to Test Time Minimization for Parallel Hybrid BIST Architecture , 2004 .
[216] Bourhane Kadmiry,et al. Fuzzy gain scheduled visual servoing for an unmanned helicopter , 2005 .
[217] Yervant Zorian,et al. Challenges in testing core-based system ICs , 1999, IEEE Commun. Mag..
[218] Yervant Zorian,et al. Test requirements for embedded core-based systems and IEEE P1500 , 1997, Proceedings International Test Conference 1997.
[219] Petru Eles,et al. A Hierarchical Test Generation Technique for Embedded Systems , 2007 .
[220] Dhiraj K. Pradhan,et al. A novel pattern generator for near-perfect fault-coverage , 1995, Proceedings 13th IEEE VLSI Test Symposium.
[221] Mats Carlsson,et al. SICStus Prolog User''s Manual , 1993 .
[222] Erik G. Larsson,et al. An Integrated System-Level Design for Testability Methodology , 2000 .
[223] Osten Oskarsson,et al. Mechanisms of modifiability in large software systems , 1982 .
[224] Raimund Ubar,et al. Turbo Tester: A CAD System for Teaching Digital Test , 1998 .
[225] Ieee Standard Test Access Port and Boundary-scan Architecture Ieee-sa Standards Board , 2001 .
[226] Jacob A. Abraham,et al. Functional Testing of Microprocessors , 1984, IEEE Transactions on Computers.
[227] Andrzej Krasniewski,et al. Circular self-test path: a low-cost BIST technique for VLSI circuits , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[228] Petru Eles,et al. Test Time Minimization for Hybrid BIST of Core-Based Systems , 2006, Journal of Computer Science and Technology.
[229] Ulf Seigerroth,et al. Att förstå och förändra systemutvecklingsverksamheter en taxonomi för metautveckling , 2003 .
[230] Bapiraju Vinnakota,et al. Defect-oriented test scheduling , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[231] Tobias Ritzau,et al. Memory Efficient Hard Real-Time Garbage Collection , 2003 .
[232] Yervant Zorian,et al. Introducing Core-Based System Design , 1997, IEEE Des. Test Comput..