Minimizing leakage power of sequential circuits through mixed-Vt flip-flops and multi-Vt combinational gates
暂无分享,去创建一个
[1] Hyuk Kim,et al. Physical design methodology of power gating circuits for standard-cell-based design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[2] Puneet Gupta,et al. Gate-length biasing for runtime-leakage control , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Jamil Kawa,et al. Design for Manufacturability and Yield for Nano-Scale CMOS , 2007, Series on Integrated Circuits and Systems.
[4] David Blaauw,et al. Discrete Vt assignment and gate sizing using a self-snapping continuous formulation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[5] T. Fujita,et al. A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[6] Kwang-Ting Cheng,et al. Fast statistical timing analysis by probabilistic event propagation , 2001, DAC '01.
[7] S. Borkar,et al. Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).
[8] P. R. Stephan,et al. SIS : A System for Sequential Circuit Synthesis , 1992 .
[9] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[10] Giovanni Squillero,et al. RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..
[11] T. Sakurai,et al. A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current , 2000, IEEE Journal of Solid-State Circuits.
[12] Youngsoo Shin,et al. Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[13] Rajendran Panda,et al. Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing , 1999, DAC '99.
[14] Takayasu Sakurai,et al. Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[15] L.T. Clark,et al. Reverse-body bias and supply collapse for low effective standby power , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[16] Hai Zhou,et al. Parallel CAD: Algorithm Design and Programming Special Section Call for Papers TODAES: ACM Transactions on Design Automation of Electronic Systems , 2010 .
[17] Qi Wang,et al. Static power optimization of deep submicron CMOS circuits for dual V/sub T/ technology , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[18] Kimiyoshi Usami,et al. Delay modeling and static timing analysis for MTCMOS circuits , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[19] Sachin S. Sapatnekar,et al. Standby power optimization via transistor sizing and dual threshold voltage assignment , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[20] Balaram Sinharoy,et al. Design and implementation of the POWER5 microprocessor , 2004, Proceedings. 41st Design Automation Conference, 2004..
[21] K. Mori,et al. A 450 MHz 64 b RISC processor using multiple threshold voltage CMOS , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[22] Dennis Sylvester,et al. Tradeoffs between gate oxide leakage and delay for dual T/sub ox/ circuits , 2004, Proceedings. 41st Design Automation Conference, 2004..
[23] Gaurav Mittal,et al. Design of the Power6 Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[24] Shin'ichiro Mutoh,et al. 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.
[25] Byunghee Choi,et al. Lookup Table-Based Adaptive Body Biasing of Multiple Macros , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[26] Kenji Hirose,et al. A 390MHz Single-Chip Application and Dual-Mode Baseband Processor in 90nm Triple-Vt CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[27] TingTing Hwang,et al. Low power design using dual threshold voltage , 2004 .
[28] S. Geissler,et al. A low-power RISC microprocessor using dual PLLs in a 0.13 /spl mu/m SOI technology with copper interconnect and low-k BEOL dielectric , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[29] Tadahiro Kuroda,et al. Variable Threshold-Voltage (VT) Scheme , 1996 .
[30] David Bryan,et al. Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.
[31] Sarma B. K. Vrudhula,et al. Static power optimization of deep submicron CMOS circuits for dual VT technology , 1998, ICCAD.