暂无分享,去创建一个
[1] R. Soref,et al. All-silicon active and passive guided-wave components for λ = 1.3 and 1.6 µm , 1986 .
[2] D. Geer,et al. Chip makers turn to multicore processors , 2005, Computer.
[3] Behrooz Parhami,et al. Computer arithmetic - algorithms and hardware designs , 1999 .
[4] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.
[5] Ahmad Khonsari,et al. Proportionally fair buffer allocation in optical chip multiprocessors , 2010, 2010 15th CSI International Symposium on Computer Architecture and Digital Systems.
[6] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[7] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[8] T. K. Woodward,et al. 1-Gb/s integrated optical detectors and receivers in commercial CMOS technologies , 1999 .
[9] Sied Mehdi Fakhraie,et al. A 65nm 10GHz pipelined MAC structure , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[10] Tobias Bjerregaard,et al. A survey of research and practices of Network-on-chip , 2006, CSUR.
[11] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[12] Cheng Li,et al. LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip , 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[14] Mikko H. Lipasti,et al. Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[15] Stephen P. Boyd,et al. Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.
[16] D.A.B. Miller,et al. Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.
[17] William J. Dally,et al. Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.
[18] Michal Lipson,et al. All-optical switching on a silicon chip. , 2004, Optics letters.
[19] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[20] Yi Wang,et al. SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.
[21] José F. Martínez,et al. MORSE: Multi-objective reconfigurable self-optimizing memory scheduler , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[22] Shaahin Hessabi,et al. Scalable architecture for a contention-free optical network on-chip , 2012, J. Parallel Distributed Comput..
[23] Milos D. Ercegovac,et al. Improving Goldschmidt Division, Square Root, and Square Root Reciprocal , 2000, IEEE Trans. Computers.
[24] Tianzhou Chen,et al. RCBus: Row-Column Bus Topology for Optical Network-on-Chip , 2012 .
[25] Yuan Xie,et al. Enabling quality-of-service in nanophotonic network-on-chip , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[26] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[27] Sudeep Pasricha,et al. Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.
[28] Lieven Eeckhout,et al. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).
[29] Yawei Yin,et al. Towards a scalable, low-power all-optical architecture for networks-on-chip , 2014, ACM Trans. Embed. Comput. Syst..
[30] Ben A. Abderazek,et al. Hybrid Photonic NoC Based on Non-Blocking Photonic Switch and Light-Weight Electronic Router , 2015, 2015 IEEE International Conference on Systems, Man, and Cybernetics.
[31] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[32] Onur Mutlu,et al. Preemptive Virtual Clock: A flexible, efficient, and cost-effective QOS scheme for networks-on-chip , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[33] Cary Gunn,et al. CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.
[34] Davide Bertozzi,et al. The fast evolving landscape of on-chip communication , 2015, Des. Autom. Embed. Syst..
[35] Antonio García-Guirado,et al. Managing resources dynamically in hybrid photonic‐electronic networks‐on‐chip , 2014, Concurr. Comput. Pract. Exp..
[36] Jung Ho Ahn,et al. The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing , 2013, TACO.
[37] John Kim,et al. FeatherWeight: Low-cost optical arbitration with QoS support , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[38] Ian O'Connor,et al. System level assessment of an optical NoC in an MPSoC platform , 2007 .
[39] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[40] George Kurian,et al. ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[41] Jean C. Walrand,et al. Fair end-to-end window-based congestion control , 2000, TNET.
[42] Kees G. W. Goossens,et al. Guaranteeing the Quality of Services in Networks on Chip , 2003, Networks on Chip.
[43] A. Robert Calderbank,et al. Layering as Optimization Decomposition: A Mathematical Theory of Network Architectures , 2007, Proceedings of the IEEE.
[44] A. Varga,et al. THE OMNET++ DISCRETE EVENT SIMULATION SYSTEM , 2003 .
[45] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[46] B. Grundmann,et al. From Single Core to Multi-Core: Preparing for a new exponential , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[47] Shaahin Hessabi,et al. All-Optical Wavelength-Routed Architecture for a Power-Efficient Network on Chip , 2014, IEEE Transactions on Computers.
[48] Ian O'Connor,et al. Optical crossbars on chip, a comparative study based on worst‐case losses , 2014, Concurr. Comput. Pract. Exp..
[49] Sebastian Werner,et al. Amon: An Advanced Mesh-like Optical NoC , 2015, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects.
[50] Xiaowen Wu,et al. SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor , 2014, JETC.
[51] Luca P. Carloni,et al. Networks-on-chip in emerging interconnect paradigms: Advantages and challenges , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.