A 9-Gbit/s Serial Transceiver for On-Chip Global Signaling Over Lossy Transmission Lines

A 9-Gbit/s serial link transceiver for on-chip global signaling, and techniques for the design of on-chip transmission lines, are presented. In a prototype device, a transmitter serializes 8-b 1.125-Gbyte/s parallel data and transmits serial data over a 5.8-mm lossy on-chip transmission line. A receiver de-serializes the received data with the help of a digitally tuned interpolator. An on-chip lossy transmission line scheme is described. In the prototype, self-test circuitry verifies the recovered, de-serialized data against the original data and counts the number of discrepancies. The prototype transceiver, implemented in 0.13-mum 8-metal CMOS, achieves 9 Gbit/s with pre-defined data patterns.

[1]  K.L. Shepard,et al.  Distributed Loss-Compensation Techniques for Energy-Efficient Low-Latency On-Chip Communication , 2007, IEEE Journal of Solid-State Circuits.

[2]  Eisse Mensink,et al.  Optimal Positions of Twists in Global On-Chip Differential Interconnects , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  G. Patounakis,et al.  Pulsed current-mode signaling for nearly speed-of-light intrachip communication , 2006, IEEE Journal of Solid-State Circuits.

[4]  Michael P. Flynn,et al.  Global signaling over lossy transmission lines , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[5]  Justin Schauer,et al.  High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE J. Solid State Circuits.

[6]  M. Horowitz,et al.  Efficient on-chip global interconnects , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[7]  S.S. Wong,et al.  50-GHz Interconnect Design in Standard Silicon Technology , 1998, 51st ARFTG Conference Digest.

[8]  H. Hasegawa,et al.  Properties of Microstrip Line on Si-SiO/sub 2/ System , 1971 .

[9]  R. Senthinathan,et al.  A 20-Gb/s 0.13-/spl mu/m CMOS serial link transmitter using an LC-PLL to directly drive the output multiplexer , 2005, IEEE Journal of Solid-State Circuits.

[10]  S. Wong,et al.  Near speed-of-light signaling over on-chip electrical interconnects , 2003 .

[11]  Jan M. Rabaey,et al.  Digital Integrated Circuits , 2003 .

[12]  M.P. Flynn,et al.  Global High-Speed Signaling in Nanometer CMOS , 2005, 2005 IEEE Asian Solid-State Circuits Conference.

[13]  Eisse Mensink,et al.  Low-Power, High-Speed Transceivers for Network-on-Chip Communication , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Justin Schauer,et al.  High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE Journal of Solid-State Circuits.

[15]  B. Nauta,et al.  A 3-Gb/s/ch transceiver for 10-mm uninterrupted RC-limited global on-chip interconnects , 2006, IEEE Journal of Solid-State Circuits.

[16]  S. Wong,et al.  Exploiting CMOS reverse interconnect scaling in multigigahertz amplifier and oscillator design , 2001, IEEE J. Solid State Circuits.