Timing-Error Detection Design Considerations in Subthreshold: An 8-bit Microprocessor in 65 nm CMOS

This paper presents the first known timing-error detection (TED) microprocessor able to operate in subthreshold. Since the minimum energy point (MEP) of static CMOS logic is in subthreshold, there is a strong motivation to design ultra-low-power systems that can operate in this region. However, exponential dependencies in subthreshold, require systems with either excessively large safety margins or that utilize adaptive techniques. Typically, these techniques include replica paths, sensors, or TED. Each of these methods adds system complexity, area, and energy overhead. As a run-time technique, TED is the only method that accounts for both local and global variations. The microprocessor presented in this paper utilizes adaptable error-detection sequential (EDS) circuits that can adjust to process and environmental variations. The results demonstrate the feasibility of the microprocessor, as well as energy savings up to 28%, when using the TED method in subthreshold. The microprocessor is an 8-bit core, which is compatible with a commercial microcontroller. The microprocessor is fabricated in 65 nm CMOS, uses as low as 4.35 pJ/instruction, occupies an area of 50,000 μm2, and operates down to 300 mV.

[1]  Massimiliano Versace,et al.  The brain of a new machine , 2010, IEEE Spectrum.

[2]  Bo Zhai,et al.  Exploring Variability and Performance in a Sub-200-mV Processor , 2008, IEEE Journal of Solid-State Circuits.

[3]  Armin Tajalli,et al.  Extreme Low-Power Mixed Signal IC Design: Subthreshold Source-Coupled Circuits , 2010 .

[4]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[5]  Patrick Chiang,et al.  Error detection and recovery techniques for variation-aware CMOS computing: A comprehensive review , 2011 .

[6]  David Blaauw,et al.  A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.

[7]  Yusuf Leblebici,et al.  Leakage Current Reduction Using Subthreshold Source-Coupled Logic , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

[8]  David Blaauw,et al.  Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[9]  Matthew J. Turnquist,et al.  Measurement of a timing error detection latch capable of sub-threshold operation , 2009, 2009 NORCHIP.

[10]  O. Korostynska Energy Harvesting Technologies , 2011 .

[11]  David Blaauw,et al.  Energy-Efficient Subthreshold Processor Design , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  David Bol,et al.  Interests and Limitations of Technology Scaling for Subthreshold Logic , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Nam Sung Kim,et al.  Energy-Efficient and Metastability-Immune Timing-Error Detection and Instruction-Replay-Based Recovery Circuits for Dynamic-Variation Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[14]  Massimo Alioto,et al.  Analysis and design of ultra-low power subthreshold MCML gates , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[15]  Anantha Chandrakasan,et al.  Sub-threshold Design for Ultra Low-Power Systems , 2006, Series on Integrated Circuits and Systems.

[16]  Paolo A. Aseron,et al.  A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance , 2011, IEEE Journal of Solid-State Circuits.

[17]  J. Rabaey Chapter 8 Optimizing Power @ Standby – Circuits and Systems , 2009 .

[18]  David Bol,et al.  A 25MHz 7μW/MHz ultra-low-voltage microcontroller SoC in 65nm LP/GP CMOS for low-carbon wireless sensor nodes , 2012, 2012 IEEE International Solid-State Circuits Conference.

[19]  Yusuf Leblebici,et al.  Extreme Low-Power Mixed Signal IC Design , 2010 .

[20]  Matthew J. Turnquist,et al.  Measurement of a system-adaptive error-detection sequential circuit with subthreshold SCL , 2011, 2011 NORCHIP.