A Genetic Algorithm-Based Heuristic Method for Test Set Generation in Reversible Circuits

Low power circuit design has been one of the major growing concerns in integrated circuit technology. Reversible circuit (RC) design is a promising future domain in computing which provides the benefit of less computational power. With the increase in the number of gates and input variables, the circuits become complex and the need for fault testing becomes crucial in ensuring high reliability of their operation. Various fault detection methods based on exhaustive test vector search approaches have been proposed in the literature. With increase in circuit complexity, a faster test generation method for providing optimal coverage becomes desirable. In this paper, a genetic algorithm-based heuristic test set generation method for fault detection in RCs is proposed which avoids the need for an exhaustive search. Two approaches, one involving random search and the other, involving directed search have been proposed and validated on benchmark circuits considering missing-gate fault (complete and partial), bridging fault and stuck-at fault with optimum coverage and reduced computational efforts.

[1]  Marek Perkowski,et al.  Fault Localization in Reversible Circuits is Easier than for Classical Circuits , 2004 .

[2]  Parag K. Lala,et al.  Reversible-logic design with online testability , 2006, IEEE Transactions on Instrumentation and Measurement.

[3]  Charles H. Bennett,et al.  Logical reversibility of computation , 1973 .

[4]  Pérès,et al.  Reversible logic and quantum computers. , 1985, Physical review. A, General physics.

[5]  Alva,et al.  Design of Testable Reversible Sequential Circuits , 2014 .

[6]  A. N. Nagamani,et al.  Deterministic approach for bridging fault detection in Peres-Fredkin and Toffoli based reversible circuits , 2015, 2015 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC).

[7]  P. Sarkar,et al.  Universal test set for bridging fault detection in reversible circuit , 2008, 2008 3rd International Design and Test Workshop.

[8]  Mehdi Baradaran Tahoori,et al.  Online fault testing of reversible logic using dual rail coding , 2010, 2010 IEEE 16th International On-Line Testing Symposium.

[9]  Mehdi Baradaran Tahoori,et al.  Fault Masking and Diagnosis in Reversible Circuits , 2011, 2011 Sixteenth IEEE European Test Symposium.

[10]  John P. Hayes,et al.  Testing for missing-gate faults in reversible circuits , 2004, 13th Asian Test Symposium.

[11]  Tanay Chattopadhyay,et al.  Mach–Zehnder interferometer-based all-optical reversible logic gate , 2010 .

[12]  Jon C. Muzio,et al.  Analyzing Fault Models for Reversible Logic Circuits , 2006, 2006 IEEE International Conference on Evolutionary Computation.

[13]  Maher Hawash,et al.  Synthesis of Reversible Circuits with No Ancilla Bits for Large Reversible Functions Specified with Bit Equations , 2010, 2010 40th IEEE International Symposium on Multiple-Valued Logic.

[14]  Hafizur Rahaman,et al.  On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[15]  T. Toffoli,et al.  Conservative logic , 2002, Collision-Based Computing.

[16]  Kalyanmoy Deb,et al.  Analysing mutation schemes for real-parameter genetic algorithms , 2014, Int. J. Artif. Intell. Soft Comput..

[17]  Vincenzo Catania,et al.  A GA-based design space exploration framework for parameterized system-on-a-chip platforms , 2004, IEEE Transactions on Evolutionary Computation.

[18]  Jing Huang,et al.  Reversible Gates and Testability of One Dimensional Arrays of Molecular QCA , 2008, J. Electron. Test..

[19]  Paolo Prinetto,et al.  GATTO: a genetic algorithm for automatic test pattern generation for large synchronous sequential circuits , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Lalit M. Patnaik,et al.  A Simulation-Based Test Generation Scheme Using Genetic Algorithms , 1993, The Sixth International Conference on VLSI Design.

[21]  John P. Hayes,et al.  Advanced modeling of faults in Reversible circuits , 2010, 2010 East-West Design & Test Symposium (EWDTS).

[22]  Thierry Paul,et al.  Quantum computation and quantum information , 2007, Mathematical Structures in Computer Science.

[23]  Andreas C. Koenig,et al.  A Study of Mutation Methods for Evolutionary Algorithms , 2002 .

[24]  Alan Mishchenko,et al.  Logic Synthesis of Reversible Wave Cascades , 2002, IWLS.

[25]  R. Landauer,et al.  Irreversibility and heat generation in the computing process , 1961, IBM J. Res. Dev..

[26]  V.S.S. Nair,et al.  Advances in Quantum Computing Fault Tolerance and Testing , 2007 .

[27]  Gerhard J. Woeginger,et al.  Exact Algorithms for NP-Hard Problems: A Survey , 2001, Combinatorial Optimization.

[28]  Robert Wille,et al.  RevLib: An Online Resource for Reversible Functions and Reversible Circuits , 2008, 38th International Symposium on Multiple Valued Logic (ismvl 2008).

[29]  S. N. Sivanandam,et al.  Introduction to genetic algorithms , 2007 .

[30]  John P. Hayes,et al.  Detection and diagnosis of faulty quantum circuits , 2012, 17th Asia and South Pacific Design Automation Conference.

[31]  Gerhard W. Dueck,et al.  Reversible Logic Synthesis , 2020, Reversible and DNA Computing.

[32]  Robert Wille,et al.  ATPG for Reversible Circuits Using Simulation, Boolean Satisfiability, and Pseudo Boolean Optimization , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[33]  Tommaso Toffoli,et al.  Reversible Computing , 1980, ICALP.

[34]  V. K. Agrawal,et al.  An Exact approach for Complete Test Set Generation of Toffoli-Fredkin-Peres based Reversible Circuits , 2016, J. Electron. Test..

[35]  J. Das,et al.  A DFT methodology targeting online testing of reversible circuit , 2012, 2012 International Conference on Devices, Circuits and Systems (ICDCS).

[36]  P. K. Lala,et al.  Online testable reversible logic circuit design using NAND blocks , 2004 .

[37]  Mehdi Baradaran Tahoori,et al.  Online Missing/Repeated Gate Faults Detection in Reversible Circuits , 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.

[38]  Hafizur Rahaman,et al.  Derivation of test set for detecting multiple missing-gate faults in reversible circuits , 2013, Comput. Electr. Eng..

[39]  Robert Wille,et al.  SAT-based ATPG for reversible circuits , 2010, 2010 5th International Design and Test Workshop.

[40]  Andrea Domenici,et al.  GABES: A genetic algorithm based environment for SEU testing in SRAM-FPGAs , 2013, J. Syst. Archit..

[41]  J. Hayes,et al.  Fault testing for reversible circuits , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[42]  Claudio Moraga,et al.  Fault Models in Reversible and Quantum Circuits , 2017 .

[43]  Kamakoti Veezhinathan,et al.  Constructing Online Testable Circuits Using Reversible Logic , 2010, IEEE Transactions on Instrumentation and Measurement.

[44]  Robert Wille,et al.  Reversible Synthesis of Symmetric Functions with a Simple Regular Structure and Easy Testability , 2016, ACM J. Emerg. Technol. Comput. Syst..

[45]  Daniel G. Saab,et al.  Automatic test vector cultivation for sequential VLSI circuits using genetic algorithms , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[46]  Mehdi Baradaran Tahoori,et al.  Defects and faults in quantum cellular automata at nano scale , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[47]  John P. Hayes,et al.  A Family of Logical Fault Models for Reversible Circuits , 2005, 14th Asian Test Symposium (ATS'05).