A morphing approach to address placement stability

Traditionally, research in global placement has focused on relatively few simple metrics, such as pure wirelength or routability estimates. However, in the real world today, designs are driven by not-so-simple issues such as timing and crosstalk. The future holds even more difficulties as physical models for devices and interconnects become increasingly complex and unpredictable. Adoption of an iterative methodology, where one incrementally fixes design errors, is a basic approach to tackling these problems. However, developers of placement algorithms have long neglected the need for an tool which can be easily adopted into an incremental design flow. We propose a novel placement approach called grid morphing, which is specifically tailored for an incremental approach to placement. In particular, our technique focuses on the stability of the placement, which is critical for minimization of perturbation of the final placement under changes applied to the input netlist. We comparethe stability of our approach to existing placement tools, and show through experiments that our approach still delivers good results under traditional placement metrics.

[1]  Andrew B. Kahng,et al.  Architecture and details of a high quality, large-scale analytical placer , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[2]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[3]  Rob A. Rutenbar,et al.  Large-scale placement by grid-warping , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  Gi-Joon Nam,et al.  Placement stability metrics , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[5]  Andrew B. Kahng,et al.  Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.

[6]  Andrew B. Kahng,et al.  Faster minimization of linear wirelength for global placement , 1997, ISPD '97.

[7]  David Z. Pan,et al.  Diffusion-based placement migration , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[8]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[9]  Jens Vygen,et al.  Legalizing a placement with minimum total movement , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Gi-Joon Nam,et al.  The ISPD2005 placement contest and benchmark suite , 2005, ISPD '05.

[11]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  E.S. Kuh,et al.  PROUD: a sea-of-gates placement algorithm , 1988, IEEE Design & Test of Computers.

[13]  Hannu Tenhunen,et al.  Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs , 2007, ICCAD 2007.

[14]  Paul S. Heckbert,et al.  Fundamentals of Texture Mapping and Image Warping , 1989 .

[15]  Chris C. N. Chu,et al.  FastPlace: efficient analytical placement using cell shifting, iterative local refinement,and a hybrid net model , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Konrad Doll,et al.  Analytical placement: a linear or a quadratic objective function? , 1991, 28th ACM/IEEE Design Automation Conference.