A multiple fault injection methodology based on cone partitioning towards RTL modeling of laser attacks

Laser attacks, especially on circuits manufactured with recent deep submicron semiconductor technologies, pose a threat to secure integrated circuits due to the multiplicity of errors induced by a single attack. An efficient way to neutralize such effects is the design of appropriate countermeasures, according to the circuit implementation and characteristics. Therefore tools which allow the early evaluation of security implementations are necessary. Our efforts involve the development of an RTL fault injection approach more representative of laser attacks than random multi-bit fault injections and the utilization and evolution of state of the art emulation techniques to reduce the duration of the fault injection campaigns. This will ultimately lead to the design and validation of new countermeasures against laser attacks, on ASICs implementing cryptographic algorithms.

[1]  Xiaoqing Wen,et al.  VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon) , 2006 .

[2]  R. Leveugle Early Analysis of Fault-based Attack Effects in Secure Circuits , 2007, IEEE Transactions on Computers.

[3]  David Naccache,et al.  The Sorcerer's Apprentice Guide to Fault Attacks , 2006, Proceedings of the IEEE.

[4]  Jasper G. J. van Woudenberg,et al.  Practical Optical Fault Injection on Secure Microcontrollers , 2011, 2011 Workshop on Fault Diagnosis and Tolerance in Cryptography.

[5]  Christian Steger,et al.  Automatic saboteur placement for emulation-based multi-bit fault injection , 2011, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC).

[6]  Israel Koren,et al.  Error Analysis and Detection Procedures for a Hardware Implementation of the Advanced Encryption Standard , 2003, IEEE Trans. Computers.

[7]  Georg Sigl,et al.  A Cost-Effective FPGA-based Fault Simulation Environment , 2011, 2011 Workshop on Fault Diagnosis and Tolerance in Cryptography.

[8]  Lloyd W. Massengill,et al.  Basic mechanisms and modeling of single-event upset in digital microelectronics , 2003 .

[9]  Richard J. Lipton,et al.  On the Importance of Eliminating Errors in Cryptographic Computations , 2015, Journal of Cryptology.

[10]  Niraj K. Jha,et al.  Testing of Digital Systems , 2003 .

[11]  Srikanth Venkataraman,et al.  Poirot: Applications of a Logic Fault Diagnosis Tool , 2001, IEEE Des. Test Comput..

[12]  David A. Bader,et al.  Graph Algorithms , 2011, Encyclopedia of Parallel Computing.

[13]  Riccardo Mariani,et al.  Using an innovative SoC-level FMEA methodology to design in compliance with IEC61508 , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[14]  Feng Lu,et al.  Towards an unified IP verification and robustness analysis platform , 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.