Evaluating Throughput of a Wormhole-Switched Routing Algorithm in NoC with Faults

A famous wormhole-switched routing algorithm for mesh interconnection network called f-cube3 uses three virtual channels to pass faulty blocks, while only one virtual channel is used when a message does not encounter by fault. Routing with faults usually uses virtual channels to conquer faulty regions. One of the key issues in the design of Network-on-Chips (NoC) is the development of a well-organized communication system to provide high throughput interconnection network. We have evaluated if-cube3 - a fault-tolerant routing algorithm based on f-cube3 - for increasing the throughput of the network. Moreover, simulation of both f-cube3 and if-cube3 algorithm for the same conditions presented. Modifications of the use of virtual channels per each physical link without adding new extra virtual channel illustrated by results obtained from simulation. As the simulation results show, if-cube3 has a higher performance than f-cube3. The results also show that if-cube3 has less exist packets in network with improved performance at high traffic load in Network-on-Chip.

[1]  Yi Xu,et al.  A power-aware adaptive routing scheme for network on a chip , 2007, 2007 7th International Conference on ASIC.

[2]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[3]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[4]  Suresh Chalasani,et al.  Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks , 1995, IEEE Trans. Computers.

[5]  Mahmood Fathy,et al.  If-cube3: An Improved Fault-Tolerant Routing Algorithm to achieve less latency in NoCs , 2009, 2009 IEEE International Advance Computing Conference.

[6]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[7]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[8]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[9]  Ahmed Louri,et al.  iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures , 2008, 2008 International Symposium on Computer Architecture.

[10]  Chita R. Das,et al.  MIRA: A Multi-layered On-Chip Interconnect Router Architecture , 2008, 2008 International Symposium on Computer Architecture.

[11]  Hamid Sarbazi-Azad,et al.  Analytic performance comparison of hypercubes and star graphs with implementation constraints , 2008, J. Comput. Syst. Sci..

[12]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[13]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[14]  Hamid Sarbazi-Azad,et al.  The effect of virtual channel organization on the performance of interconnection networks , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[15]  Chi-Ying Tsui,et al.  Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[16]  Sudhakar Yalamanchili,et al.  Dynamically Configurable Message Flow Control for Fault-Tolerant Routing , 1999, IEEE Trans. Parallel Distributed Syst..

[17]  Lionel M. Ni,et al.  Fault-tolerant wormhole routing in meshes , 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.

[18]  Axel Jantsch,et al.  Network on Chip : An architecture for billion transistor era , 2000 .

[19]  Krishnan Srinivasan,et al.  A technique for low energy mapping and routing in network-on-chip architectures , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[20]  M. Ali,et al.  Considerations for fault-tolerant network on chips , 2005, 2005 International Conference on Microelectronics.

[21]  Hideharu Amano,et al.  Non-minimal routing strategy for application-specific networks-on-chips , 2005, 2005 International Conference on Parallel Processing Workshops (ICPPW'05).

[22]  Radu Marculescu Networks-on-chip: the quest for on-chip fault-tolerant communication , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[23]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.