SPEED: fast and efficient timing driven placement

A timing driven placement approach for very large circuits is described. A new method for accurate net delay estimation allows to calculate an individual delay between the source pin and each sink pin of a net. The obtained timing information drives an efficient net-based placement technique, which dynamically adapts the net weights during successive placement steps. For the first time, results of benchmark circuits with up to 25,000 cells are presented. They show an excellent quality in terms of maximum path delay and total area after final routing. The maximum path delay of the examined circuits is reduced by 26% on an average, at an area cost of only 1% compared to the timing driven placement tool RITUAL 3.4.

[1]  Pravin M. Vaidya,et al.  A performance driven macro-cell placement algorithm , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[2]  Konrad Doll,et al.  Analytical placement: a linear or a quadratic objective function? , 1991, 28th ACM/IEEE Design Automation Conference.

[3]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Janak H. Patel,et al.  APT: an area-performance-testability driven placement algorithm , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[5]  Jürgen Koehl,et al.  An analytic net weighting approach for performance optimization in circuit placement , 1991, 28th ACM/IEEE Design Automation Conference.

[6]  Krzysztof Kozminski,et al.  Benchmarks for layout synthesis - evolution and current status , 1991, 28th ACM/IEEE Design Automation Conference.

[7]  Robert K. Brayton,et al.  Sequential circuit design using synthesis and optimization , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

[8]  Ernest S. Kuh,et al.  An Algorithm for Performance-Driven Placement of Cell-Based ICs , 1991 .

[9]  Vishwani D. Agrawal,et al.  Chip Layout Optimization Using Critical Path Weighting , 1984, 21st Design Automation Conference Proceedings.

[10]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[11]  Alberto L. Sangiovanni-Vincentelli,et al.  A New Symbolic Channel Router: YACR2 , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Arvind Srinivasan,et al.  RITUAL: a performance driven placement algorithm for small cell ICs , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[13]  Carl Sechen,et al.  A new global router for row-based layout , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[14]  Kamal Chaudhary,et al.  RITUAL: a performance driven placement algorithm , 1992 .

[15]  Michael Burstein,et al.  Timing Influenced Layout Design , 1985, DAC 1985.