A built-in self-test scheme for 3D RAMs

Three-dimensional (3D) random access memory (RAM) using through-silicon vias for inter-die interconnects has been considered as a new approach to overcome the memory wall. In this paper, we propose a built-in self-test (BIST) scheme for 3D RAMs. In the BIST scheme, a clock-domain-crossing-aware test pattern generator is proposed to cope with the clock-domain-crossing issue. An inter-die synchronization mechanism is also proposed to synchronize the BIST circuits in different dies. Furthermore, the BIST circuit provides the high-programmability feature to support the selection of RAMs in a die for testing such that it can support thermal management during the test. We design the proposed BIST scheme in a 3D IC with processor and RAM dies. Experimental results show that the area cost of the BIST circuit is very small. The area overhead of the BIST circuit for four 8192×64-bit RAMs in a die is only 0.45% using TSMC 90nm 1P9M CMOS process technology.

[1]  Jin-Fu Li,et al.  A programmable online/off-line built-in self-test scheme for RAMs with ECC , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[2]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[3]  Cheng-Wen Wu,et al.  A Programmable BIST Core for Embedded DRAM , 1999, IEEE Des. Test Comput..

[4]  Fan Zhang,et al.  Comparing Through-Silicon-Via (TSV) Void/Pinhole Defect Self-Test Methods , 2012, J. Electron. Test..

[5]  Ding-Ming Kwai,et al.  Yield Enhancement by Bad-Die Recycling and Stacking With Though-Silicon Vias , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[7]  Alfredo Benso,et al.  A programmable BIST architecture for clusters of multiple-port SRAMs , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[8]  Mitsumasa Koyanagi,et al.  Handbook of 3D Integration , 2008 .

[9]  Hsien-Hsin S. Lee,et al.  Testing Circuit-Partitioned 3D IC Designs , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[10]  Yu-Jen Huang,et al.  Post-bond test techniques for TSVs with crosstalk faults in 3D ICs , 2012, Proceedings of Technical Program of 2012 VLSI Design, Automation and Test.

[11]  Masayuki Nakajima,et al.  A chip-stacked memory for on-chip SRAM-rich SoCs and processors , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[12]  Qiang Xu,et al.  Modeling TSV open defects in 3D-stacked DRAM , 2010, 2010 IEEE International Test Conference.

[13]  Qiang Xu,et al.  Yield enhancement for 3D-stacked memory by redundancy sharing across dies , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[14]  Hsien-Hsin S. Lee,et al.  Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.

[15]  Aamir Zia,et al.  Mitigating Memory Wall Effects in High-Clock-Rate and Multicore CMOS 3-D Processor Memory Stacks , 2009, Proceedings of the IEEE.

[16]  Ding-Ming Kwai,et al.  A built-in self-test scheme for the post-bond test of TSVs in 3D ICs , 2011, 29th VLSI Test Symposium.

[17]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[18]  Peter Ramm,et al.  Handbook of 3D integration : technology and applications of 3D integrated circuits , 2012 .

[19]  Paolo Prinetto,et al.  Industrial BIST of embedded RAMs , 1995, IEEE Design & Test of Computers.

[20]  Mario H. Konijnenburg,et al.  A structured and scalable test access architecture for TSV-based 3D stacked ICs , 2010, 2010 28th VLSI Test Symposium (VTS).

[21]  Shyue-Kung Lu,et al.  On test and repair of 3D random access memory , 2012, 17th Asia and South Pacific Design Automation Conference.

[22]  Erik Jan Marinissen Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[23]  Paul D. Franzon,et al.  Through Silicon Via(TSV) defect/pinhole self test circuit for 3D-IC , 2009, 2009 IEEE International Conference on 3D System Integration.

[24]  Ding-Ming Kwai,et al.  A Test Integration Methodology for 3D Integrated Circuits , 2010, 2010 19th IEEE Asian Test Symposium.

[25]  Tomonori Sekiguchi,et al.  1-Tbyte/s 1-Gbit DRAM Architecture Using 3-D Interconnect for High-Throughput Computing , 2011, IEEE Journal of Solid-State Circuits.

[26]  Shekhar Y. Borkar 3D integration for energy efficient system design , 2006, 2009 Symposium on VLSI Technology.

[27]  Jin-Fu Li,et al.  Is 3D integration an opportunity or just a hype? , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[28]  Alfredo Benso,et al.  An effective distributed BIST architecture for RAMs , 2000, Proceedings IEEE European Test Workshop.

[29]  Young-Hyun Jun,et al.  A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4×128 I/Os using TSV-based stacking , 2011, 2011 IEEE International Solid-State Circuits Conference.

[30]  Yu-Jen Huang,et al.  Yield-enhancement techniques for 3D random access memories , 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

[31]  Cheng-Wen Wu,et al.  A Hybrid BIST Scheme for Multiple Heterogeneous Embedded Memories , 2007, 16th Asian Test Symposium (ATS 2007).

[32]  R. Rajsuman Rambist builder: a methodology for automatic built-in self-test design of embedded rams , 1996, IEEE International Workshop on Memory Technology, Design and Testing,.

[33]  Young-Hyun Jun,et al.  A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.

[34]  S. Hamdioui,et al.  BIST enhancement for detecting bit/byte write enable faults in SOC SRAMs , 2008, 2008 2nd International Conference on Signals, Circuits and Systems.

[35]  Shi-Yu Huang,et al.  Small delay testing for TSVs in 3-D ICs , 2012, DAC Design Automation Conference 2012.