Early Design/Technology Exploration of BEOL Options for Hybrid Wafer Bonded Split-SRAM
暂无分享,去创建一个
B. Cline | J. Kulkarni | Daniel Smith | D. Fisher | N. Robson | A. Cestero | M. Bhargava | R. Mathur | H. Perry | F. Frederick
[1] John J. Wuu,et al. 3D Packaging for Heterogeneous Integration , 2022, 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC).
[2] J. Ryckaert,et al. Buried Interconnects for Sub-5 nm SRAM Design , 2022, IEEE Transactions on Electron Devices.
[3] John J. Wuu,et al. 3D V-Cache: the Implementation of a Hybrid-Bonded 64MB Stacked Cache for a 7nm x86-64 CPU , 2022, 2022 IEEE International Solid- State Circuits Conference (ISSCC).
[4] Douglas C. H. Yu,et al. Foundry Perspectives on 2.5D/3D Integration and Roadmap , 2021, 2021 IEEE International Electron Devices Meeting (IEDM).
[5] Xiaoqing Xu,et al. Power Delivery and Thermal-Aware Arm-Based Multi-Tier 3D Architecture , 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[6] Jaydeep P. Kulkarni,et al. Thermal-Aware Design Space Exploration of 3-D Systolic ML Accelerators , 2021, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
[7] S. Cho,et al. Multi-Stack Wafer Bonding Demonstration utilizing Cu to Cu Hybrid Bonding and TSV enabling Diverse 3D Integration , 2021, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC).
[8] A. Cestero,et al. 3D-Split SRAM: Enabling Generational Gains in Advanced CMOS , 2021, 2021 IEEE Custom Integrated Circuits Conference (CICC).
[9] J. Ryckaert,et al. Buried Bitline for sub-5nm SRAM Design , 2020, 2020 IEEE International Electron Devices Meeting (IEDM).
[10] B. Cline,et al. A high-density logic-on-logic 3DIC design using face-to-face hybrid wafer-bonding on 12nm FinFET process , 2020, 2020 IEEE International Electron Devices Meeting (IEDM).
[11] Max Min,et al. Accelerating Innovations in the New Era of HPC, 5G and Networking with Advanced 3D Packaging Technologies , 2020, 2020 International Wafer Level Packaging Conference (IWLPC).
[12] Daniel Smith,et al. Face to Face Hybrid Wafer Bonding for Fine Pitch Applications , 2020, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC).
[13] Jaydeep Kulkarni,et al. Thermal Analysis of a 3D Stacked High-Performance Commercial Microprocessor using Face-to-Face Wafer Bonding Technology , 2020, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC).
[14] Andy Miller,et al. Novel Cu/SiCN surface topography control for 1 μm pitch hybrid wafer-to-wafer bonding , 2020, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC).
[15] Ming-Fa Chen,et al. System on Integrated Chips (SoIC(TM) for 3D Heterogeneous Integration , 2019, 2019 IEEE 69th Electronic Components and Technology Conference (ECTC).
[16] Greg Yeric,et al. IC Design After Moore’s Law , 2019, 2019 IEEE Custom Integrated Circuits Conference (CICC).
[17] Krishnendu Chakrabarty,et al. Design automation and testing of monolithic 3D ICs: Opportunities, challenges, and solutions: (Invited paper) , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[18] Sung Woo Chung,et al. Architecting large-scale SRAM arrays with monolithic 3D integration , 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[19] M. Vinet,et al. First demonstration of 3D SRAM through 3D monolithic integration of InGaAs n-FinFETs on FDSOI Si CMOS with inter-layer contacts , 2017, 2017 Symposium on VLSI Technology.
[20] Diederik Verkest,et al. SRAM designs for 5nm node and beyond: Opportunities and challenges , 2017, 2017 IEEE International Conference on IC Design and Technology (ICICDT).
[21] Taejoong Song,et al. 12.2 A 7nm FinFET SRAM macro using EUV lithography for peripheral repair analysis , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).
[22] Cliff Hou,et al. 1.1 A smart design paradigm for smart chips , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).
[23] O. Faynot,et al. 3DVLSI with CoolCube process: An alternative path to scaling , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).
[24] Mark Y. Liu,et al. A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2 SRAM cell size , 2014, 2014 IEEE International Electron Devices Meeting.
[25] Sung Kyu Lim,et al. Ultra-high density 3D SRAM cell designs for monolithic 3D integration , 2012, 2012 IEEE International Interconnect Technology Conference.
[26] Gabriel H. Loh,et al. 3D-Integrated SRAM Components for High-Performance Microprocessors , 2009, IEEE Transactions on Computers.
[27] R. Nagisetty,et al. 2.5D and 3D Heterogeneous Integration: Emerging applications , 2021, IEEE Solid-State Circuits Magazine.
[28] Sheng-kai,et al. Future Logic Scaling : Towards Atomic Channels and Deconstructed Chips , 2020 .
[29] Hidehiro Fujiwara,et al. 12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).
[30] Mark Horowitz,et al. A high-speed, low-power 3D-SRAM architecture , 2008, 2008 IEEE Custom Integrated Circuits Conference.