Comparative Analysis of Spintronic Memories for Low Power on-chip Caches

The continuous downscaling in CMOS devices has increased leakage power and limited the performance to a few GHz. The research goal has diverted from operating at high frequencies to deliver higher performance in essence with lower power. CMOS based on-chip memories consumes significant fraction of power in modern processors. This paper aims to explore the suitability of beyond CMOS, emerging magnetic memories for the use in memory hierarchy, attributing to their remarkable features like nonvolatility, high-density, ultra-low leakage and scalability. NVSim, a circuit-level tool, is used to explore different design layouts and memory organizations and then estimate the energy, area and latency performance numbers. A detailed system-level performance analysis of STT-MRAM and SOT-MRAM technologies and comparison with 22[Formula: see text]nm SRAM technology are presented. Analysis infers that in comparison to the existing 22[Formula: see text]nm SRAM technology, SOT-MRAM is more efficient in area for memory size [Formula: see text][Formula: see text]KB, speed and energy consumption for cache size [Formula: see text][Formula: see text]KB. A typical 256[Formula: see text]KB SOT-MRAM cache design is 27.74% area efficient, 2.97 times faster and consumes 76.05% lesser leakage than SRAM counterpart and these numbers improve for larger cache sizes. The article deduces that SOT-MRAM technology has a promising potential to replace SRAM in lower levels of computer memory hierarchy.

[1]  Shekhar Borkar,et al.  Obeying Moore's law beyond 0.18 micron [microprocessor design] , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

[2]  J. L. Costa-Krämer,et al.  Large magnetoresistance in Fe/MgO/FeCo(001) epitaxial tunnel junctions on GaAs(001) , 2001 .

[3]  Mahmut T. Kandemir,et al.  Leakage Current: Moore's Law Meets Static Power , 2003, Computer.

[4]  N. Shibata,et al.  A 70nm 16Gb 16-level-cell NAND Flash Memory , 2007, 2007 IEEE Symposium on VLSI Circuits.

[5]  Byung-Gil Choi,et al.  A 0.1-$\mu{\hbox {m}}$ 1.8-V 256-Mb Phase-Change Random Access Memory (PRAM) With 66-MHz Synchronous Burst-Read Operation , 2007, IEEE Journal of Solid-State Circuits.

[6]  D. Ralph,et al.  Spin transfer torques , 2007, 0711.4608.

[7]  Shih-Hung Chen,et al.  Phase-change random access memory: A scalable technology , 2008, IBM J. Res. Dev..

[8]  YangJun,et al.  A durable and energy efficient main memory using phase change memory technology , 2009 .

[9]  Denny D. Tang,et al.  Magnetic Memory: Fundamentals and Technology , 2010 .

[10]  I. Miron,et al.  Current-induced spin–orbit torques , 2011, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences.

[11]  D. Jeong,et al.  Emerging memories: resistive switching mechanisms and current status , 2012, Reports on progress in physics. Physical Society.

[12]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Jacques-Olivier Klein,et al.  Failure and reliability analysis of STT-MRAM , 2012, Microelectron. Reliab..

[14]  D. Ralph,et al.  Spin-Torque Switching with the Giant Spin Hall Effect of Tantalum , 2012, Science.

[15]  Mohamad Towfik Krounbi,et al.  Basic principles of STT-MRAM cell operation in memory arrays , 2013 .

[16]  J. Dai,et al.  Photocatalytic reduction synthesis of SrTiO3-graphene nanocomposites and their enhanced photocatalytic activity , 2014, Nanoscale Research Letters.

[17]  Jagan Singh Meena,et al.  Overview of emerging nonvolatile memory technologies , 2014, Nanoscale Research Letters.

[18]  C. Felser,et al.  Nanoscale three-dimensional reconstruction of electric and magnetic stray fields around nanowires , 2014 .

[19]  Jing Zhang,et al.  3D Cross-Point Spin Transfer Torque Magnetic Random Access Memory , 2017 .

[20]  Han-Chun Wu,et al.  Materials, Devices and Spin Transfer Torque in Antiferromagnetic Spintronics: A Concise Review , 2017 .

[21]  J. Xiao,et al.  Spin–Orbit Torques in Metallic Magnetic Multilayers: Challenges and New Opportunities , 2017 .

[22]  Guoqiang Yu Two-terminal MRAM with a spin , 2018, Nature Electronics.

[23]  Z. Zhu,et al.  On-Load Field Prediction of Surface-Mounted PM Machines Considering Nonlinearity Based on Hybrid Field Model , 2019, IEEE Transactions on Magnetics.

[24]  Weisheng Zhao,et al.  Proposal of Toggle Spin Torques Magnetic RAM for Ultrafast Computing , 2019, IEEE Electron Device Letters.