Current source based standard-cell model for accurate timing analysis of combinational logic cells
暂无分享,去创建一个
[1] Massoud Pedram,et al. A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect , 2008, 2008 Design, Automation and Test in Europe.
[2] Rakesh Chadha,et al. Static Timing Analysis for Nanometer Designs: A Practical Approach , 2009 .
[3] Noel Menezes,et al. A multi-port current source model for multiple-input switching effects in CMOS library cells , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[4] Rajendran Panda,et al. Slope propagation in static timing analysis , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[5] Martin D. F. Wong,et al. Blade and razor: cell and interconnect delay analysis using current-based models , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[6] Shahin Nazarian,et al. Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] Ken Tseng,et al. A robust cell-level crosstalk delay change analysis , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[8] Sarma B. K. Vrudhula,et al. Current source based standard cell model for accurate signal integrity and timing analysis , 2008, 2008 Design, Automation and Test in Europe.