EVA: An efficient vision architecture for mobile systems

The capabilities of mobile devices have been increasing at a momentous rate. As better processors have merged with capable cameras in mobile systems, the number of computer vision applications has grown rapidly. However, the computational and energy constraints of mobile devices have forced computer vision application developers to sacrifice accuracy for the sake of meeting timing demands. To increase the computational performance of mobile systems we present EVA. EVA is an application-specific heterogeneous multicore having a mix of computationally powerful cores with energy efficient cores. Each core of EVA has computation and memory architectural enhancements tailored to the application traits of vision codes. Using a computer vision benchmarking suite, we evaluate the efficiency and performance of a wide range of EVA designs. We show that EVA can provide speedups of over 9× that of an embedded processor while reducing energy demands by as much as 3×.

[1]  Ian Reid,et al.  fastHOG – a real-time GPU implementation of HOG , 2011 .

[2]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[3]  Guy-Richard Kayombya,et al.  SIFT feature extraction on a Smartphone GPU using OpenGL ES2.0 , 2010 .

[4]  Mario-Alberto Ibarra-Manzano,et al.  Design and Optimization of Real-Time Boosting for Image Interpretation Based on FPGA Architecture , 2011, 2011 IEEE Electronics, Robotics and Automotive Mechanics Conference.

[5]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[6]  Xin Yang,et al.  Accelerating SURF detector on mobile devices , 2012, ACM Multimedia.

[7]  Ethan Rublee,et al.  ORB: An efficient alternative to SIFT or SURF , 2011, 2011 International Conference on Computer Vision.

[8]  Steve Mann,et al.  OpenVIDIA: parallel GPU computer vision , 2005, MULTIMEDIA '05.

[9]  Silvio Savarese,et al.  MEVBench: A mobile computer vision benchmarking suite , 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

[10]  Wenquan Feng,et al.  An architecture of optimised SIFT feature detection for an FPGA implementation of an image matcher , 2009, 2009 International Conference on Field-Programmable Technology.

[11]  Clifford Stein,et al.  Introduction to algorithms. Chapter 16. 2nd Edition , 2001 .

[12]  Tom Drummond,et al.  Machine Learning for High-Speed Corner Detection , 2006, ECCV.

[13]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[14]  Jung Ho Ahn,et al.  A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies , 2008, 2008 International Symposium on Computer Architecture.

[15]  Xin-She Yang,et al.  Introduction to Algorithms , 2021, Nature-Inspired Optimization Algorithms.

[16]  Kwang-Ting Cheng,et al.  Using mobile GPU for general-purpose computing – a case study of face recognition on smartphones , 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.

[17]  G LoweDavid,et al.  Distinctive Image Features from Scale-Invariant Keypoints , 2004 .

[18]  Muhammad Shafique,et al.  Low-power adaptive pipelined MPSoCs for multimedia: An H.264 video encoder case study , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[19]  Hoi-Jun Yoo,et al.  Bitwise Competition Logic for compact digital comparator , 2007, 2007 IEEE Asian Solid-State Circuits Conference.

[20]  Marc Levoy,et al.  The Frankencamera: an experimental platform for computational photography , 2010, CACM.

[21]  Silvio Savarese,et al.  EFFEX: An embedded processor for computer vision based feature extraction , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[22]  Jean-Loup Baer,et al.  Effective Hardware Based Data Prefetching for High-Performance Processors , 1995, IEEE Trans. Computers.

[23]  Hong Wang,et al.  Image feature extraction for mobile processors , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

[24]  Mark Horowitz,et al.  Energy-Efficient Floating-Point Unit Design , 2011, IEEE Transactions on Computers.

[25]  Clifford Stein,et al.  Introduction to Algorithms, 2nd edition. , 2001 .

[26]  Leo Breiman,et al.  Random Forests , 2001, Machine Learning.

[27]  Marios C. Papaefthymiou,et al.  Computational sprinting , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[28]  Jinson Koppanalil,et al.  A 1.6 GHz dual-core ARM Cortex A9 implementation on a low power high-K metal gate 32nm process , 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.

[29]  Carlos H. Llanos,et al.  FPGA-based image processing for omnidirectional vision on mobile robots , 2011, SBCCI '11.