Total power optimization through simultaneously multiple-vDD multiple-vTH assignment and device sizing with stack forcing

In this paper, we present an algorithm for the minimization of total power consumption via multiple VDD assignment, multiple VTH assignment, device sizing and stack forcing, while maintaining performance requirements. These four power reduction techniques are properly encoded in genetic algorithm and evaluated simultaneously. The overhead imposed by the insertion of level converters is also taken into account. The effectiveness of each power reduction mechanism is verified, as are the combinations of different approaches. Experimental results are given for a number of 65 nm benchmark circuits that span typical circuit topologies, including inverter chains, SRAM decoders, multiplier and a 32bit carry adders. From the experimental results, we show that the combination of four low power techniques is the effective way to achieve low power budget.

[1]  Vladimir Stojanovic,et al.  Methods for true power minimization , 2002, ICCAD 2002.

[2]  David G. Chinnery,et al.  Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization , 2003, ISLPED '03.

[3]  Dennis Sylvester,et al.  Pushing ASIC performance in a power envelope , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[4]  D. Blaauw,et al.  Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

[5]  David Blaauw,et al.  Simultaneous state, Vt and Tox assignment for total standby power minimization , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[6]  S. Borkar,et al.  Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[7]  Borivoje Nikolic,et al.  Combining dual-supply, dual-threshold and transistor sizing for power reduction , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[8]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[9]  Kaushik Roy,et al.  Multiple-V/sub dd/ multiple-V/sub th/ CMOS (MVCMOS) for low power applications , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[10]  Jan M. Rabaey,et al.  Digital integrated circuits: a design perspective / Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolic , 2003 .

[11]  David E. Goldberg,et al.  Genetic Algorithms in Search Optimization and Machine Learning , 1988 .

[12]  R.W. Brodersen,et al.  Energy–delay tradeoffs in combinational logic using gate sizing and supply voltage optimization , 2002, Proceedings of the 28th European Solid-State Circuits Conference.

[13]  D. Sylvester,et al.  Minimizing total power by simultaneous Vdd/Vth assignment , 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

[14]  Sarma B. K. Vrudhula,et al.  Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  D. Sylvester,et al.  Concurrent sizing, Vdd and V/sub th/ assignment for low-power design , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[16]  D. E. Goldberg,et al.  Genetic Algorithms in Search , 1989 .

[17]  Anantha Chandrakasan,et al.  Scaling of stack effect and its application for leakage reduction , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

[18]  Tadahiro Kuroda,et al.  Utilizing surplus timing for power reduction , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[19]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[20]  David Blaauw,et al.  Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design , 2004, DATE.

[21]  Rajarshi Das,et al.  A Study of Control Parameters Affecting Online Performance of Genetic Algorithms for Function Optimization , 1989, ICGA.