Wafer-shape based in-plane distortion predictions using superfast 4G metrology

With the latest immersion scanners performing at the sub-2 nm overlay level, the non-lithography contributors to the OnProduct-Overlay budget become more and more dominant. Examples of these contributors are etching, thin film deposition, Chemical-Mechanical Planarization and thermal anneal. These processes can introduce stress or stress changes in the thin films on top of the silicon wafers, resulting in significant wafer grid distortions. High-order wafer alignment (HOWA) is the current ASML solution for correcting wafers with a high order grid distortion introduced by non-lithographic processes, especially when these distortions vary from wafer-to-wafer. These models are currently successfully applied in high volume production at several semiconductor device manufacturers. An important precondition is that the wafer distortions remain global as the polynomial-based HOWA models become less effective for very local distortions. Wafer-shape based feed forward overlay corrections can be a possible solution to overcome this challenge. Thin film stress typically has an impact on the unclamped, free-form shape of the wafers. When an accurate relationship between the wafer shape and in-plane distortion (IPD) after clamping is established then feedforward overlay control can be enabled. In this work we assess the capability of wafer-shape based IPD predictions via a controlled experiment. The processinduced IPDs are accurately measured on the ASML TWINSCANTM system using its SMASH alignment system and the wafer shapes are measured on the Superfast 4G inspection system. In order to relate the wafer shape to the IPD we have developed a prediction model beyond the standard Stoney approximation. The match between the predicted and measured IPD is excellent (~1-nm), indicating the feasibility of using wafer shape for feed-forward overlay control.

[1]  Ares J. Rosakis,et al.  Full Field Measurements of Curvature using Coherent Gradient Sensing: Application to Thin Film Characterization , 1997 .

[2]  L. Freund,et al.  Thin Film Materials: Stress, Defect Formation and Surface Evolution , 2004 .

[3]  Yasushi Tanaka,et al.  Study of correlation between overlay and displacement measured by Coherent Gradient Sensing (CGS) interferometry , 2016, SPIE Advanced Lithography.

[4]  Sangjun Han,et al.  Improvement of process control using wafer geometry for enhanced manufacturability of advanced semiconductor devices , 2015, Advanced Lithography.

[5]  David M. Owen,et al.  Characterization of deformation induced by micro-second laser anneal using CGS interferometry , 2008, 2008 16th IEEE International Conference on Advanced Thermal Processing of Semiconductors.

[6]  Sathish Veeraraghavan,et al.  Lithography overlay control improvement using patterned wafer geometry for sub-22nm technology nodes , 2015, Advanced Lithography.

[7]  Timothy A. Brunner,et al.  Patterned wafer geometry (PWG) metrology for improving process-induced overlay and focus problems , 2016, Advanced Lithography.

[8]  Doug Anberg,et al.  A study of feed-forward strategies for overlay control in lithography processes using CGS technology , 2015, 2015 26th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC).

[9]  Nelson Felix,et al.  Characterization of wafer geometry and overlay error on silicon wafers with nonuniform stress , 2013 .