Analytical leakage/temperature-aware power modeling and optimization for a variable speed real-time system

We consider a DVS-enabled single-processor firm real-time (FRT) system with Poisson arrival jobs having exponential execution times and generally distributed relative deadlines. The queue size of the system bounds the number of jobs which may be available therein. Further, the processor speed depends on the number of jobs in the system which varies because of the job arrivals, service completions, and deadline misses. Thus, the processor power consumption, including both the dynamic and leakage powers, depends on the stochastic nature of the system. More specifically, the instantaneous dynamic power consumption lonely depends on the number of jobs at that moment. However, the instantaneous leakage power consumption depends on both the number of jobs and the instantaneous processor temperature. In turn, the temperature is affected by both the dynamic and leakage power consumptions. Taking all the aforementioned inter-effects into account, this paper analytically models the timing, power and temperature behaviors of such a variable speed FRT system. The analysis is then employed to address the problem of the system average power (and thus, energy) minimization subject to guaranteeing some upper bound on the system loss probability. Simulation results are also put against the analytical ones to show the accuracy level of the proposed analytical method as well as the efficacy of the optimizations.

[1]  Riccardo Bettati,et al.  Real-time task scheduling under thermal constraints , 2010 .

[2]  Gerard J. M. Smit,et al.  Efficient computation of buffer capacities for multi-rate real-time systems with back-pressure , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[3]  Ali Movaghar-Rahimabadi,et al.  A stochastic DVS-based dynamic power management for soft real-time systems , 2005, 2005 International Conference on Wireless Networks, Communications and Mobile Computing.

[4]  Yan Zhang,et al.  Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks , 2009, 2009 21st Euromicro Conference on Real-Time Systems.

[5]  Tei-Wei Kuo,et al.  Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[6]  Sarma B. K. Vrudhula,et al.  Fast and Accurate Prediction of the Steady-State Throughput of Multicore Processors Under Thermal Constraints , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  John P. Lehoczky Real-time queueing theory , 1996, 17th IEEE Real-Time Systems Symposium.

[8]  Li Shang,et al.  Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[9]  Tei-Wei Kuo,et al.  Energy-efficient real-time task scheduling with temperature-dependent leakage , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[10]  Naehyuck Chang,et al.  Dynamic thermal management for networked embedded systems under harsh ambient temperature variation , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[11]  Luca Benini,et al.  Robust and Low Complexity Rate Control for Solar Powered Sensors , 2008, 2008 Design, Automation and Test in Europe.

[12]  Ali Movaghar-Rahimabadi,et al.  Non-preemptive earliest-deadline-first scheduling policy: a performance study , 2005, 13th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems.

[13]  Ali Movaghar-Rahimabadi,et al.  Analytical Leakage-Aware Thermal Modeling of a Real-Time System , 2014, IEEE Transactions on Computers.

[14]  Rajesh K. Gupta,et al.  Dynamic slack reclamation with procrastination scheduling in real-time embedded systems , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[15]  Lothar Thiele,et al.  Thermal-aware global real-time scheduling and analysis on multicore systems , 2011, J. Syst. Archit..

[16]  Gang Qu,et al.  Temperature-Aware Leakage Minimization Technique for Real-Time Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[17]  Gang Quan,et al.  Leakage aware energy minimization for real-time systems under the maximum temperature constraint , 2011, 2011 Design, Automation & Test in Europe.

[18]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Ali Movaghar On Queueing with Customer Impatience Until the End of Service , 2006 .

[20]  Gang Quan,et al.  Leakage conscious DVS scheduling for peak temperature minimization , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[21]  D. Y. Barrer Queuing with Impatient Customers and Ordered Service , 1957 .

[22]  Alan Burns,et al.  Weakly Hard Real-Time Systems , 2001, IEEE Trans. Computers.

[23]  Guanglei Liu,et al.  Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems , 2010, 2010 10th IEEE International Conference on Computer and Information Technology.

[24]  Ali Movaghar-Rahimabadi,et al.  A Method for Performance Analysis of Earliest-Deadline-First Scheduling Policy , 2004, DSN.

[25]  Andreas Brandt,et al.  Asymptotic Results and a Markovian Approximation for the M(n)/M(n)/s+GI System , 2002, Queueing Syst. Theory Appl..

[26]  Ali Movaghar-Rahimabadi,et al.  Performance Optimization Based on Analytical Modeling in a Real-Time System with Constrained Time/Utility Functions , 2011, IEEE Transactions on Computers.

[27]  Massoud Pedram,et al.  Stochastic modeling of a thermally-managed multi-core system , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[28]  Ao Tang,et al.  Stochastic analysis of power-aware scheduling , 2008, 2008 46th Annual Allerton Conference on Communication, Control, and Computing.

[29]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[30]  Gang Quan,et al.  Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.

[31]  John P. Lehoczky,et al.  Real-time queueing network theory , 1997, Proceedings Real-Time Systems Symposium.