More Power Reduction With 3-Tier Logic-on-Logic 3-D ICs

Low-power is one of the key driving forces in modern very large scale integration systems. Recent studies show that 3-D integrated circuits (ICs) offer a significant power saving over 2-D ICs. However, these studies are mainly limited to two-tier (2-tier) designs. Thus, in this paper, we extend our target to three-tier (3-tier) 3-D ICs. This paper first shows that the one additional tier available in 3-tier 3-D ICs does offer more power saving compared with their 2-tier 3-D IC counterparts, but more careful floorplanning, through-silicon via management, and block folding considerations are required. Second, we find that the 3-tiers can be bonded in several different ways: 1) face-to-back only; 2) face-to-face and face-to-back combined; and 3) back-to-back and face-to-face combined. This paper shows that these choices pose additional challenges in design optimizations for more power saving. Lastly, we develop effective computer-aided-design solutions that are seamlessly integrated into commercial 2-D IC tools to handle 3-tier 3-D IC power optimization under various bonding style options. With our low-power design methods combined, our 3-tier 3-D ICs provide -14.8% more power reduction over 2-tier 3-D ICs, and -36.0% over 2-D ICs in microprocessor cores under the same performance. In full-chip microprocessors, our 3-tier 3-D ICs provide -27.2% more power reduction over 2-D ICs.

[1]  So-Ra Kim,et al.  8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[2]  K. Schuegraf,et al.  Transistor wars , 2011, IEEE Spectrum.

[3]  Hong Wang,et al.  How to reduce power in 3D IC designs: A case study with OpenSPARC T2 core , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[4]  John Cunningham,et al.  Direct chip powering and enhancement of proximity communication through Anisotropic Conductive adhesive chip-to-chip bonding , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[5]  Ashok Kumar,et al.  An 8-Core 64-Thread 64b Power-Efficient SPARC SoC , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[6]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[7]  Sung Kyu Lim,et al.  A study of Through-Silicon-Via impact on the 3D stacked IC layout , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[8]  A. Jourdain,et al.  Cu to Cu interconnect using 3D-TSV and wafer to wafer thermocompression bonding , 2010, 2010 IEEE International Interconnect Technology Conference.

[9]  Sung Kyu Lim,et al.  Design and analysis of 3D IC-based low power stereo matching processors , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[10]  Sung Kyu Lim,et al.  On GPU bus power reduction with 3D IC technologies , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  W. Dehaene,et al.  Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.

[12]  Wei-Chung Lo,et al.  An innovative chip-to-wafer and wafer-to-wafer stacking , 2006, 56th Electronic Components and Technology Conference 2006.

[13]  Sung Kyu Lim,et al.  On enhancing power benefits in 3D ICs: Block folding and bonding styles perspective , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[14]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.