Detection of wafer warpages during thermal processing in microlithography

Wafer warpage is common in microelectronics processing. Warped wafers can affect device performance, reliability and linewidth control in various processing steps. We proposed in this paper an in situ fault detection technique for wafer warpage in microlithography. Early detection would minimize cost and processing time. Based on first principle thermal modeling, we are able to detect warpage fault from available temperature measurements. Experimental results demonstrate the feasibility of the approach. The proposed approach is applicable to other semiconductor substrates.

[1]  Costas J. Spanos,et al.  Characterizing post-exposure bake processing for transient- and steady-state conditions in the context of critical dimension control , 2002, SPIE Advanced Lithography.

[2]  Arthur Tay,et al.  Minimum time control of conductive heating systems for microelectronics processing , 2001 .

[3]  Arthur Tay,et al.  Optimal predictive control with constraints for the processing of semiconductor wafers on bake plates , 2000 .

[4]  Lay Lay Lee,et al.  Real-time predictive control of photoresist film thickness uniformity , 2002 .

[5]  Kuzman Ražnjević,et al.  Handbook of Thermodynamic Tables and Charts , 1976 .

[6]  D. Seeger,et al.  Chemically amplified resists for advanced lithography : Road to success or detour ? , 1997 .

[7]  T. Kailath,et al.  Control systems for the nanolithography process , 1999, Proceedings of the 38th IEEE Conference on Decision and Control (Cat. No.99CH36304).

[8]  T. Fukui,et al.  Warpage of InP wafers , 1997, Conference Proceedings. 1997 International Conference on Indium Phosphide and Related Materials.

[9]  Weng Khuen Ho,et al.  Resist film uniformity in the microlithography process , 2002 .

[10]  Koji Kaneyama,et al.  Optimizing CD uniformity by total PEB cycle temperature control on track equipment , 2002, SPIE Advanced Lithography.

[11]  Fu-Pen Chiang,et al.  Measurement of Wafer Surface Using Shadow Moiré Technique With Talbot Effect , 1998 .

[12]  Theodore H. Fedynyshyn,et al.  Advances in Resist Technology and Processing XIX , 2002 .

[13]  M. Quirk,et al.  Semiconductor manufacturing technology , 2000 .

[14]  M. Ozisik Heat Transfer: A Basic Approach , 1984 .

[15]  J. Parker,et al.  Temperature metrology for CD control in DUV lithography , 1997 .