Pin-3D: A Physical Synthesis and Post-Layout Optimization Flow for Heterogeneous Monolithic 3D ICs

In this paper, we present an optimization flow for monolithic 3D ICs called Pin-3D Optimizer. Compared with the state-of-the-art RTL-to-GDS flows that rely on ad-hoc technology file tweaks and RC scaling, Pin-3D offers a streamlined method to run commercial 2D IC tools to obtain high-quality monolithic 3D IC designs. Specifically, Pin-3D supports effective legalization, routing, timing closure, and ECO optimization for monolithic 3D IC designs. We propose a novel optimization methodology where the cells in each tier of a 3D IC are optimized using cell data and constraints of the full 3D design. The optimizations in a tier also directly influence the timing, power in the other tiers, leading to better overall PPA of the 3D IC. With the help of two industry processors designed with a 28 nm technology node, we show that Pin-3D provides up-to 9.0% smaller wirelength and 88% smaller total negative slack than die-by-die M3D flows. We also observe up-to 8.7% lower power and 26% smaller wirelength than 2D ICs. In addition, Pin-3D is the first flow that supports routing and timing optimization in heterogeneous logic-on-logic monolithic 3D ICs. We demonstrate this capability by performing area-balanced tier partitioning, routing, and timing closure of a 3D design with different technologies on each die.

[1]  X. Garros,et al.  Breakthroughs in 3D Sequential technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[2]  D. Pantuso,et al.  Foveros: 3D Integration and the use of Face-to-Face Chip Stacking for Logic Devices , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[3]  Jason Cong,et al.  An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Chung-Kuan Cheng,et al.  ePlace-3D: Electrostatics based Placement for 3D-ICs , 2015, ISPD.

[5]  Sung Kyu Lim,et al.  Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs , 2018, ISPD.

[6]  Sung Kyu Lim,et al.  Power-performance study of block-level monolithic 3D-ICs considering inter-tier performance variations , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Sanjeev Khushu,et al.  8.1 Lakefield and Mobility Compute: A 3D Stacked 10nm and 22FFL Hybrid Processor System in 12×12mm2, 1mm Package-on-Package , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[8]  Yao-Wen Chang,et al.  TSV-Aware Analytical Placement for 3-D IC Designs Based on a Novel Weighted-Average Wirelength Model , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  O. Faynot,et al.  First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers , 2016, 2016 IEEE Symposium on VLSI Technology.

[10]  Sachin Sapatnekar,et al.  Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD 2003.

[11]  Sung Kyu Lim,et al.  Shrunk-2-D: A Physical Design Methodology to Build Commercial-Quality Monolithic 3-D ICs , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.