Exploring Optimal Topology and Routing Algorithm for 3D Network on Chip
暂无分享,去创建一个
[1] Masoud Daneshtalab,et al. Low-distance path-based multicast routing algorithm for network-on-chips , 2009, IET Comput. Digit. Tech..
[2] Philip G. Emma,et al. Interconnects in the Third Dimension: Design Challenges for 3D ICs , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[3] Jun Yang,et al. A low-radix and low-diameter 3D interconnection network design , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[4] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[5] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2007, IEEE Trans. Very Large Scale Integr. Syst..
[6] Karam S. Chatha,et al. A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[7] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[8] Partha Pratim Pande,et al. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.
[9] Jamila Bhar,et al. Study of Network on Chip resources allocation for QoS Management , 2006 .
[10] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[11] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[12] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[13] Luca Benini,et al. Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow , 2007, Nano-Net.
[14] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] William J. Dally,et al. Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.
[16] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).