Electronic Design Automation: Synthesis, Verification, and Test

This book provides broad and comprehensive coverage of the entire EDA flow. EDA/VLSI practitioners and researchers in need of fluency in an "adjacent" field will find this an invaluable reference to the basic EDA concepts, principles, data structures, algorithms, and architectures for the design, verification, and test of VLSI circuits. Anyone who needs to learn the concepts, principles, data structures, algorithms, and architectures of the EDA flow will benefit from this book. Covers complete spectrum of the EDA flow, from ESL design modeling to logic/test synthesis, verification, physical design, and test - helps EDA newcomers to get "up-and-running" quickly Includes comprehensive coverage of EDA concepts, principles, data structures, algorithms, and architectures - helps all readers improve their VLSI design competence Contains latest advancements not yet available in other books, including Test compression, ESL design modeling, large-scale floorplanning, placement, routing, synthesis of clock and power/ground networks - helps readers to design/develop testable chips or products Includes industry best-practices wherever appropriate in most chapters - helps readers avoid costly mistakes Table of Contents Chapter 1: Introduction Chapter 2: Fundamentals of CMOS Design Chapter 3: Design for Testability Chapter 4: Fundamentals of Algorithms Chapter 5: Electronic System-Level Design and High-Level Synthesis Chapter 6: Logic Synthesis in a Nutshell Chapter 7: Test Synthesis Chapter 8: Logic and Circuit Simulation Chapter 9:?Functional Verification Chapter 10: Floorplanning Chapter 11: Placement Chapter 12: Global and Detailed Routing Chapter 13: Synthesis of Clock and Power/Ground Networks Chapter 14: Fault Simulation and Test Generation.

[1]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[2]  Michael Burstein,et al.  Hierarchical Wire Routing , 1983, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  R. Prim Shortest connection networks and some generalizations , 1957 .

[4]  Michael H. Schulz,et al.  SOCRATES: a highly efficient automatic test pattern generation system , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  John H. Holland,et al.  Adaptation in Natural and Artificial Systems: An Introductory Analysis with Applications to Biology, Control, and Artificial Intelligence , 1992 .

[6]  X. Chen,et al.  Energy-efficient dual-port cache architecture with improved performances , 2007 .

[7]  L. H. Goldstein,et al.  Controllability/observability analysis of digital circuits , 1978 .

[8]  Chantal Robach,et al.  Inserting Scan at the Behavioral Level , 2000, IEEE Des. Test Comput..

[9]  Yu-Chin Hsu,et al.  Hybrid routing , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Alberto Sangiovanni-Vincentelli,et al.  Logic synthesis for vlsi design , 1989 .

[11]  Michael S. Hsiao,et al.  Automatic test generation using genetically-engineered distinguishing sequences , 1996, Proceedings of 14th VLSI Test Symposium.

[12]  Rob A. Rutenbar,et al.  Large-scale placement by grid-warping , 2004, Proceedings. 41st Design Automation Conference, 2004..

[13]  Robert K. Brayton,et al.  A force-directed macro-cell placer , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[14]  David G. Chinnery,et al.  A functional validation technique: biased-random simulation guided by observability-based coverage , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[15]  T. Trick,et al.  A simple formula for the estimation of the capacitance of two-dimensional interconnects in VLSI circuits , 1982, IEEE Electron Device Letters.

[16]  Jason Cong,et al.  An implicit connection graph maze routing algorithm for ECO routing , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[17]  Harry D. Foster,et al.  Assertion-Based Design , 2010 .

[18]  Robert K. Brayton,et al.  MIS: A Multiple-Level Logic Optimization System , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Charles J. Alpert,et al.  The ISPD98 circuit benchmark suite , 1998, ISPD '98.

[20]  R. Otten Automatic Floorplan Design , 1982, DAC 1982.

[21]  Xinli Gu,et al.  An effort-minimized logic BIST implementation method , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[22]  Dave Hightower A solution to line-routing problems on the continuous plane , 1969, DAC '69.

[23]  Majid Sarrafzadeh,et al.  Pattern routing: use and theory for increasing predictability andavoiding coupling , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[24]  Kun Yuan,et al.  BoxRouter 2.0: architecture and implementation of a hybrid and robust global router , 2007, ICCAD 2007.

[25]  Tiziano Villa,et al.  Optimization of multi-valued multi-level networks , 2002, Proceedings 32nd IEEE International Symposium on Multiple-Valued Logic.

[26]  R. Rohrer The Generalized Adjoint Network and Network Sensitivities , 1969 .

[27]  Randal E. Bryant,et al.  Effective use of boolean satisfiability procedures in the formal verification of superscalar and VLIW , 2001, DAC '01.

[28]  Michael H. Schulz,et al.  Parallel Pattern Fault Simulation of Path Delay Faults , 1989, 26th ACM/IEEE Design Automation Conference.

[29]  Jacob Savir,et al.  Syndrome-Testable Design of Combinational Circuits , 1980, IEEE Transactions on Computers.

[30]  Yao-Wen Chang,et al.  Multilevel floorplanning/placement for large-scale modules using B*-trees , 2003, DAC '03.

[31]  Janak H. Patel,et al.  Segment delay faults: a new fault model , 1996, Proceedings of 14th VLSI Test Symposium.

[32]  Kendall E. Atkinson An introduction to numerical analysis , 1978 .

[33]  C. Y. Lee Representation of switching circuits by binary-decision programs , 1959 .

[34]  Tiziano Villa,et al.  Efficient solution of language equations using partitioned representations , 2005, Design, Automation and Test in Europe.

[35]  Kuen-Jong Lee,et al.  Broadcasting test patterns to multiple circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[36]  Wai-Kei Mak,et al.  Low-power gated and buffered clock network construction , 2008, TODE.

[37]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[38]  Kurt Keutzer DAGON: Technology Binding and Local Optimization by DAG Matching , 1987, DAC.

[39]  Paul H. Bardell,et al.  Self-Testing of Multichip Logic Modules , 1982, International Test Conference.

[40]  W. D. Evans,et al.  PARTIAL DIFFERENTIAL EQUATIONS , 1941 .

[41]  R. J. Gal-Ezer,et al.  Synchronous Versus Asynchronous Computation In Very Large Scale Integrated (VLSI) Array Processors , 1982, Other Conferences.

[42]  Andrew B. Kahng,et al.  Near-optimal critical sink routing tree constructions , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[43]  Kenneth Steiglitz,et al.  Combinatorial Optimization: Algorithms and Complexity , 1981 .

[44]  Sunil Jain,et al.  Statistical Fault Analysis , 1985, IEEE Design & Test of Computers.

[45]  Michael Hind,et al.  Which pointer analysis should I use? , 2000, ISSTA '00.

[46]  B. Koenemann,et al.  Built-in logic block observation techniques , 1979 .

[47]  Yao-Wen Chang,et al.  Predictive Formulae for OPC With Applications to Lithography-Friendly Routing , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[48]  Rohit Bhatia,et al.  Validating the Itanium 2 exception control unit: a unit-level approach , 2004, IEEE Design & Test of Computers.

[49]  Sheldon X.-D. Tan,et al.  Efficient very large scale integration power/ground network sizing based on equivalent circuit modeling , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[50]  Jaakko Hintikka,et al.  Time And Modality , 1958 .

[51]  David D. Ling,et al.  Power Supply Noise Analysis Methodology For Deep-submicron Vlsi Chip Design , 1997, Proceedings of the 34th Design Automation Conference.

[52]  Edsger W. Dijkstra,et al.  A note on two problems in connexion with graphs , 1959, Numerische Mathematik.

[53]  Yao-Wen Chang,et al.  Multi-layer global routing considering via and wire capacities , 2008, ICCAD 2008.

[54]  Liyang Lai,et al.  Programmable Scan-Based Logic Built-In Self Test , 2007, 16th Asian Test Symposium (ATS 2007).

[55]  Jeffrey J. Joyce,et al.  Linking BDD-Based Symbolic Evaluation to Interactive Theorem-Proving , 1993, 30th ACM/IEEE Design Automation Conference.

[56]  Cheng-Kok Koh,et al.  UST/DME: a clock tree router for general skew constraints , 2000, TODE.

[57]  S. Sitharama Iyengar,et al.  Finding obstacle-avoiding shortest paths using implicit connection graphs , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[58]  Wayne Wei-Ming Dai,et al.  Buffer insertion and sizing under process variations for low power clock distribution , 1995, DAC '95.

[59]  Avra Cohn Correctness properties of the Viper block model: the second level , 1989 .

[60]  Robert K. Brayton,et al.  Simplification of non-deterministic multi-valued networks , 2002, IWLS.

[61]  Jae-Young Jang,et al.  Formal verification of FIRE: a case study , 1997, DAC.

[62]  Michael S. Hsiao,et al.  A novel, low-cost algorithm for sequentially untestable fault identification , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[63]  Neil R. Quinn The placement problem as viewed from the physics of classical mechanics , 1975, DAC '75.

[64]  Chris Chu FLUTE: fast lookup table based wirelength estimation technique , 2004, ICCAD 2004.

[65]  Haihua Yan,et al.  Multimode Illinois Scan Architecture for Test Application Time and Test Data Volume Reduction , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[66]  Yao-Wen Chang,et al.  Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[67]  Hai Zhou,et al.  Global routing with crosstalk constraints , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[68]  Matt Kaufmann,et al.  A Computational Logic for Applicative Common LISP , 2007 .

[69]  Naveed A. Sherwani Algorithms for VLSI Physcial Design Automation , 1998 .

[70]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[71]  Chris C. N. Chu,et al.  DeFer: Deferred decision making enabled fixed-outline floorplanner , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[72]  S. Tam,et al.  Clock generation and distribution for the first IA-64 microprocessor , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[73]  Lawrence. Davis,et al.  Handbook Of Genetic Algorithms , 1990 .

[74]  Chris C. N. Chu,et al.  FastPlace: efficient analytical placement using cell shifting, iterative local refinement,and a hybrid net model , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[75]  Nikil D. Dutt,et al.  APEX: access pattern based memory architecture exploration , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[76]  Thomas W. Williams,et al.  A logic design structure for LSI testability , 1977, DAC '77.

[77]  Daniel D. Gajski,et al.  High ― Level Synthesis: Introduction to Chip and System Design , 1992 .

[78]  Satoshi Goto,et al.  An efficient algorithm for the two-dimensional placement problem in electrical circuit layout , 1981 .

[79]  Irith Pomeranz,et al.  On-chip compression of output responses with unknown values using lfsr reseeding , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[80]  Janick Bergeron,et al.  Writing Testbenches: Functional Verification of HDL Models, Second Edition , 2003 .

[81]  Michael S. Hsiao,et al.  Efficient spectral techniques for sequential ATPG , 2001, DATE '01.

[82]  David Z. Pan,et al.  Variation tolerant buffered clock network synthesis with cross links , 2006, ISPD '06.

[83]  Edward J. McCluskey,et al.  Circuits for pseudoexhaustive test pattern generation , 1986, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[84]  Jason Cong,et al.  Multilevel approach to full-chip gridless routing , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[85]  Weiping Shi,et al.  A circuit level fault model for resistive bridges , 2003, TODE.

[86]  P. Hilton,et al.  Catalan Numbers, Their Generalization, and Their Uses , 1991 .

[87]  Elizabeth M. Rudnick,et al.  Sequential Circuit Test Generation in a Genetic Algorithm Framework , 1994, 31st Design Automation Conference.

[88]  Yao-Wen Chang,et al.  B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.

[89]  Giovanni De Micheli,et al.  Cache-efficient memory layout of aggregate data structures , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[90]  Huang-Yu Chen,et al.  Novel wire density driven full-chip routing for CMP variation control , 2007, ICCAD 2007.

[91]  Yao-Wen Chang,et al.  Full-Chip Routing Considering Double-Via Insertion , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[92]  André Ivanov,et al.  Dynamic testability measures for ATPG , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[93]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.

[94]  Sachin S. Sapatnekar,et al.  A fast algorithm for power grid design , 2005, ISPD '05.

[95]  Evangeline F. Y. Young,et al.  Integrated floorplanning and interconnect planning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[96]  Lei Li,et al.  Test set embedding for deterministic BIST using a reconfigurable interconnection network , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[97]  Ieee Standards Board IEEE Standard hardware Description language : based on the Verilog hardware description language , 1996 .

[98]  D. T. Lee,et al.  Crosstalk- and performance-driven multilevel full-chip routing , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[99]  Wu-Tung Cheng,et al.  Differential Fault Simulation - A Fast Method Using Minimal Memory , 1989, 26th ACM/IEEE Design Automation Conference.

[100]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[101]  Robert K. Brayton,et al.  Reducing structural bias in technology mapping , 2006, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[102]  Alberto Sangiovanni-Vincentelli,et al.  Boolean decomposition in multilevel logic optimization , 1989 .

[103]  Igor L. Markov,et al.  Toward CAD-IP reuse: a web bookshelf of fundamental algorithms , 2002, IEEE Design & Test of Computers.

[104]  Frank M. Brown,et al.  Boolean reasoning - the logic of boolean equations , 1990 .

[105]  J. Kruskal On the shortest spanning subtree of a graph and the traveling salesman problem , 1956 .

[106]  Michael S. Hsiao,et al.  Effective safety property checking using simulation-based sequential ATPG , 2002, DAC '02.

[107]  Kwang-Ting Cheng,et al.  Efficient test mode selection and insertion for RTL-BIST , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[108]  Yao-Wen Chang,et al.  Efficient power/ground network analysis for power integrity-driven design methodology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[109]  Charles J. Alpert,et al.  Wire segmenting for improved buffer insertion , 1997, DAC.

[110]  Jason Cong,et al.  Multilevel generalized force-directed method for circuit placement , 2005, ISPD '05.

[111]  Yan Feng,et al.  A fixed-die floorplanning algorithm using an analytical approach , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[112]  Massoud Pedram,et al.  Gated clock routing for low-power microprocessor design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[113]  Randal E. Bryant,et al.  On the Complexity of VLSI Implementations and Graph Representations of Boolean Functions with Application to Integer Multiplication , 1991, IEEE Trans. Computers.

[114]  John Grason,et al.  TMEAS, A Testability Measurement Program , 1979, 16th Design Automation Conference.

[115]  Jiang Hu,et al.  Coupling aware timing optimization and antenna avoidance in layer assignment , 2005, ISPD '05.

[116]  Yao-Wen Chang,et al.  NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[117]  Gérard Berry,et al.  The Esterel Synchronous Programming Language: Design, Semantics, Implementation , 1992, Sci. Comput. Program..

[118]  Luciano Lavagno,et al.  EDA for IC System Design, Verification, and Testing , 2006 .

[119]  Chung-Kuan Cheng,et al.  Module Placement Based on Resistive Network Optimization , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[120]  Evangeline F. Y. Young,et al.  Twin binary sequences: a non-redundant representation for general non-slicing floorplan , 2002, ISPD '02.

[121]  Eugene Shragowitz,et al.  An analytical approach to floorplan design and optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[122]  Robert K. Brayton,et al.  Logic Minimization Algorithms for VLSI Synthesis , 1984, The Kluwer International Series in Engineering and Computer Science.

[123]  Pierre Bricaud,et al.  Reuse methodology manual for system-on-chip designs , 1998 .

[124]  Ronald L. Rivest,et al.  Introduction to Algorithms , 1990 .

[125]  Keshab K. Parhi,et al.  Low power SRAM design using hierarchical divided bit-line approach , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[126]  Sungmin Cho,et al.  Combinational and sequential mapping with priority cuts , 2007, ICCAD 2007.

[127]  Peter Wohl,et al.  Fully X-tolerant combinational scan compression , 2007, 2007 IEEE International Test Conference.

[128]  Arnold L. Rosenberg,et al.  Exhaustive Generation of Bit Patterns with Applications to VLSI Self-Testing , 1983, IEEE Transactions on Computers.

[129]  Ulrich Brenner,et al.  Faster and better global placement by a new transportation algorithm , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[130]  Massoud Pedram,et al.  A near optimal algorithm for technology mapping minimizing area under delay constraints , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[131]  M. Edahiro,et al.  Minimum skew and minimum path length routing in VLSI layout design , 1991 .

[132]  Peet James Verification Plans: The Five-Day Verification Strategy for Modern Hardware Verification Languages , 2003 .

[133]  Cheng-Kok Koh,et al.  SASIMI: sparsity-aware simulation of interconnect-dominated circuits with nonlinear devices , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[134]  Sadiq M. Sait,et al.  VLSI Physical Design Automation - Theory and Practice , 1995, Lecture Notes Series on Computing.

[135]  Carl Ebeling,et al.  PathFinder: A Negotiation-Based Performance-Driven Router for FPGAs , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

[136]  Sujit Dey,et al.  A scalable software-based self-test methodology for programmable processors , 2003, DAC '03.

[137]  Robert K. Brayton,et al.  Retiming and resynthesis: optimizing sequential networks with combinational techniques , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[138]  Mark Horowitz,et al.  Architecture validation for processors , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

[139]  Sani R. Nassif,et al.  Optimal decoupling capacitor sizing and placement for standard-cell layout designs , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[140]  Krisztián Flautner,et al.  Automatic Performance Setting for Dynamic Voltage Scaling , 2001, MobiCom '01.

[141]  Janak H. Patel,et al.  A case study on the implementation of the Illinois Scan Architecture , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[142]  Taewhan Kim,et al.  Synthesis of arithmetic circuits considering layout effects , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[143]  Vishwani D. Agrawal,et al.  A transitive closure algorithm for test generation , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[144]  George Markowsky,et al.  The Weighted Syndrome Sums Approach to VLSI Testing , 1981, IEEE Transactions on Computers.

[145]  Peter Wohl,et al.  Scalable selector architecture for X-tolerant deterministic BIST , 2004, Proceedings. 41st Design Automation Conference, 2004..

[146]  J. Cong,et al.  Interconnect design for deep submicron ICs , 1997, ICCAD 1997.

[147]  Qing Su,et al.  A layout dependent full-chip copper electroplating topography model , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[148]  Leslie Lamport,et al.  Proving Liveness Properties of Concurrent Programs , 1982, TOPL.

[149]  Konrad Doll,et al.  Iterative placement improvement by network flow methods , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[150]  Kwang-Ting Cheng,et al.  Functionally Testable Path Delay Faults on a Microprocessor , 2000, IEEE Des. Test Comput..

[151]  Alberto Sangiovanni-Vincentelli,et al.  TimberWolf3.2: A New Standard Cell Placement and Global Routing Package , 1986, DAC 1986.

[152]  Jason Cong,et al.  Optimality and scalability study of existing placement algorithms , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[153]  Prabhakar Raghavan,et al.  Randomized rounding: A technique for provably good algorithms and algorithmic proofs , 1985, Comb..

[154]  Cheng-Kok Koh,et al.  Recursive bisection based mixed block placement , 2004, ISPD '04.

[155]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[156]  Rohit Kapur,et al.  Changing the scan enable during shift , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[157]  Kuang-Yao Lee,et al.  Post-routing redundant via insertion for yield/reliability improvement , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[158]  Jason Cong,et al.  Improved crosstalk modeling for noise constrained interconnect optimization , 2001, ASP-DAC '01.

[159]  Dimitris Gizopoulos,et al.  Advances in Electronic Testing: Challenges and Methodologies (Frontiers in Electronic Testing) , 2006 .

[160]  Chang-Tzu Lin,et al.  Robust fixed-outline floorplanning through evolutionary search , 2004, ASP-DAC.

[161]  David G. Luenberger,et al.  Linear and nonlinear programming , 1984 .

[162]  Toshiyuki Maeda,et al.  Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..

[163]  Giovanni De Micheli,et al.  Synthesis and simulation of digital systems containing interacting hardware and software components , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[164]  D. F. Wong,et al.  Simulated Annealing for VLSI Design , 1988 .

[165]  Li-C. Wang,et al.  An incremental learning framework for estimating signal controllability in unit-level verification , 2007, ICCAD 2007.

[166]  Srinivas Patil,et al.  Scan-based transition test , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[167]  Hugo De Man,et al.  Minimizing the required memory bandwidth in VLSI system realizations , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[168]  Hans-Joachim Wunderlich,et al.  Self test using unequiprobable random patterns , 1987 .

[169]  B.I. Dervisoglu,et al.  DESIGN FOR TESTABILITY USING SCANPATH TECHNIQUES FOR PATH-DELAY TEST AND MEASUREMENT , 1991, 1991, Proceedings. International Test Conference.

[170]  Eric Lindbloom,et al.  The Weighted Random Test-Pattern Generator , 1975, IEEE Transactions on Computers.

[171]  Sundaram Seshu,et al.  The Diagnosis of Asynchronous Sequential Switching Systems , 1962, IRE Trans. Electron. Comput..

[172]  Egon Balas,et al.  A lift-and-project cutting plane algorithm for mixed 0–1 programs , 1993, Math. Program..

[173]  Sani R. Nassif,et al.  Random walks in a supply network , 2003, DAC '03.

[174]  Yoji Kajitani,et al.  Module placement on BSG-structure and IC layout applications , 1996, ICCAD 1996.

[175]  Nasser A. Kurd,et al.  A multigigahertz clocking scheme for the Pentium(R) 4 microprocessor , 2001, IEEE J. Solid State Circuits.

[176]  Bruce E. Hajek,et al.  Cooling Schedules for Optimal Annealing , 1988, Math. Oper. Res..

[177]  Jianwen Zhu,et al.  Specification and Design of Embedded Systems , 1998, Informationstechnik Tech. Inform..

[178]  X. Dai An adaptive digital deskewing circuit for clock distribution networks , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[179]  Henry Ledgard,et al.  Reference Manual for the ADA® Programming Language , 1983, Springer New York.

[180]  Thorsten Grotker,et al.  System Design with SystemC , 2002 .

[181]  Martin D. F. Wong,et al.  An algorithm for zero-skew clock tree routing with buffer insertion , 1996, Proceedings ED&TC European Design and Test Conference.

[182]  Prabhakar Goel Test generation costs analysis and projections , 1980, DAC '80.

[183]  Randal E. Bryant,et al.  Symbolic Boolean manipulation with ordered binary-decision diagrams , 1992, CSUR.

[184]  Yao-Wen Chang,et al.  An Optimal Network-Flow-Based Simultaneous Diode and Jumper Insertion Algorithm for Antenna Fixing , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[185]  Gary S. Ditlow,et al.  Random Pattern Testability , 1984, IEEE Transactions on Computers.

[186]  Konrad Doll,et al.  Analytical placement: a linear or a quadratic objective function? , 1991, 28th ACM/IEEE Design Automation Conference.

[187]  Yiran Chen,et al.  Statistical based link insertion for robust clock network design , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[188]  Edward J. McCluskey,et al.  Design for Autonomous Test , 1981, IEEE Transactions on Computers.

[189]  Sheldon X.-D. Tan,et al.  Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[190]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[191]  Ting-Chi Wang,et al.  Maze routing with OPC consideration , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[192]  Irith Pomeranz,et al.  LOCSTEP: a logic simulation based test generation procedure , 1995, Twenty-Fifth International Symposium on Fault-Tolerant Computing. Digest of Papers.

[193]  Robert K. Brayton,et al.  Functional Dependency for Verification Reduction , 2004, CAV.

[194]  Mark G. Karpovsky,et al.  Testing Computer Hardware through Data Compression in Space and Time , 1983, ITC.

[195]  L.W. Linholm,et al.  An optimized output stage for MOS integrated circuits , 1975, IEEE Journal of Solid-State Circuits.

[196]  Ernst G. Ulrich,et al.  Concurrent simulation of nearly identical digital networks , 1974, Computer.

[197]  Adnan Aziz,et al.  SIVA: A System for Coverage-Directed State Space Search , 2001, J. Electron. Test..

[198]  Jean Christophe Madre,et al.  Proving circuit correctness using formal comparison between expected and extracted behaviour , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[199]  Bryan D. Ackland,et al.  Physical Design Automation of Vlsi Systems , 1988 .

[200]  D. Brand Verification of large synthesized designs , 1993, ICCAD 1993.

[201]  Philip R. Moorby,et al.  The Verilog Hardware Description Language, 5th Edition , 2002 .

[202]  Jack Edward Stephenson,et al.  A testability measure for register-transfer level digital circuits , 1974 .

[203]  Yao-Wen Chang,et al.  TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.

[204]  Edward J. McCluskey Verification Testing - A Pseudoexhaustive Test Technique , 1984, IEEE Trans. Computers.

[205]  Chris C. N. Chu,et al.  FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[206]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[207]  Olivier Coudert,et al.  Two-level logic minimization: an overview , 1994, Integr..

[208]  Jacob K. White,et al.  FastCap: a multipole accelerated 3-D capacitance extraction program , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[209]  S. Wolfram Statistical mechanics of cellular automata , 1983 .

[210]  Chung-Kuan Cheng,et al.  Optimal wire sizing and buffer insertion for low power and a generalized delay model , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[211]  J. Paul Roth,et al.  Programmed Algorithms to Compute Tests to Detect and Distinguish Between Failures in Logic Circuits , 1967, IEEE Trans. Electron. Comput..

[212]  Joseph R. Shinnerl,et al.  Large-scale circuit placement , 2005, TODE.

[213]  Carl Sechen,et al.  Efficient and effective placement for very large circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[214]  Yao-Wen Chang,et al.  Multilevel Full-Chip Routing With Testability and Yield Enhancement , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[215]  Nilanjan Mukherjee,et al.  On RTL scan design , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[216]  T. G. Hallin,et al.  Lamp: Application to switching-system development , 1974 .

[217]  Li-Da Huang,et al.  A polynomial time-optimal diode insertion/routing algorithm for fixing antenna problem [IC layout] , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[218]  Lee-Sup Kim,et al.  A low-power SRAM using hierarchical bit line and local sense amplifiers , 2005, IEEE J. Solid State Circuits.

[219]  Wayne Wolf,et al.  Hardware-Software Co-Synthesis of Distributed Embedded Systems , 1996 .

[220]  David L. Dill,et al.  Validation with guided search of the state space , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[221]  Ravindra K. Ahuja,et al.  Network Flows: Theory, Algorithms, and Applications , 1993 .

[222]  Jason Cong,et al.  Minimum-cost bounded-skew clock routing , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[223]  Gary W. Clow A Global Routing Algorithm for General Cells , 1984, 21st Design Automation Conference Proceedings.

[224]  Karem A. Sakallah,et al.  Constructive multi-level synthesis by way of functional properties , 2001 .

[225]  Yao-Wen Chang,et al.  Power/Ground Network and Floorplan Cosynthesis for Fast Design Convergence , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[226]  Stephen P. Boyd,et al.  Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.

[227]  Irith Pomeranz,et al.  Proptest: a property based test pattern generator for sequential circuits using test compaction , 1999, DAC '99.

[228]  Kenneth M. Hall An r-Dimensional Quadratic Placement Algorithm , 1970 .

[229]  Sumit DasGupta,et al.  A Variation of LSSD and Its Implications on Design and Test Pattern Generation in VLSI , 1982, ITC.

[230]  Samir Palnitkar,et al.  Verilog HDL: a guide to digital design and synthesis , 1996 .

[231]  Ernest S. Kuh,et al.  A spacing algorithm for performance enhancement and cross-talk reduction , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[232]  Fadi J. Kurdahi,et al.  Layout-driven high level synthesis for FPGA based architectures , 1998, Proceedings Design, Automation and Test in Europe.

[233]  Andrew B. Kahng,et al.  A new class of Steiner tree heuristics with good performance: the iterated 1-Steiner approach , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[234]  Richard M. Karp,et al.  Theoretical Improvements in Algorithmic Efficiency for Network Flow Problems , 1972, Combinatorial Optimization.

[235]  P. Six,et al.  Cathedral-II: A Silicon Compiler for Digital Signal Processing , 1986, IEEE Design & Test of Computers.

[236]  Michael Ian Shamos,et al.  Computational geometry: an introduction , 1985 .

[237]  Yao-Wen Chang,et al.  Temporal floorplanning using 3D-subTCG , 2004 .

[238]  Gary D. Hachtel,et al.  BOLD: The Boulder Optimal Logic Design system , 1989, [1989] Proceedings of the Twenty-Second Annual Hawaii International Conference on System Sciences. Volume 1: Architecture Track.

[239]  David E. Long,et al.  Identifying sequential redundancies without search , 1996, DAC '96.

[240]  J. Black,et al.  Electromigration—A brief survey and some recent results , 1969 .

[241]  Cheng-Kok Koh,et al.  Optimal post-routing redundant via insertion , 2008, ISPD '08.

[242]  A. Kahng,et al.  Bounded-skew clock and Steiner routing under Elmore delay , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[243]  Masato Edahiro,et al.  A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.

[244]  Andrew B. Kahng,et al.  Optimal partitioners and end-case placers for standard-cell layout , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[245]  M. Edahiro,et al.  Delay Minimization For Zero-skew Routing , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[246]  Luciano Lavagno,et al.  EDA for IC Implementation, Circuit Design, and ProcessTechnology (Electronic Design Automation for Integrated Circuits Handbook) , 2006 .

[247]  Subhasish Mitra,et al.  XPAND: an efficient test stimulus compression technique , 2006, IEEE Transactions on Computers.

[248]  Charles E. Leiserson,et al.  Optimizing synchronous systems , 1981, 22nd Annual Symposium on Foundations of Computer Science (sfcs 1981).

[249]  Janusz Rajski,et al.  On necessary and nonconflicting assignments in algorithmic test pattern generation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[250]  D. Pradhan,et al.  Recursive learning: a new implication technique for efficient solutions to CAD problems-test, verification, and optimization , 2003 .

[251]  Jiang Hu,et al.  Improved algorithms for link-based non-tree clock networks for skew variability reduction , 2005, ISPD '05.

[252]  Sachin S. Sapatnekar,et al.  Topology optimization of structured power/ground networks , 2004, ISPD '04.

[253]  Nikil Dutt,et al.  On-Chip Communication Architectures: System on Chip Interconnect , 2008 .

[254]  Andrew B. Kahng,et al.  A semi-persistent clustering technique for VLSI circuit placement , 2005, ISPD '05.

[255]  Akihiro Hashimoto,et al.  Wire routing by optimizing channel assignment within large apertures , 1971, DAC.

[256]  T. Sakurai,et al.  Simple formulas for two- and three-dimensional capacitances , 1983, IEEE Transactions on Electron Devices.

[257]  Shianling Wu,et al.  At-speed logic BIST architecture for multi-clock designs , 2005, 2005 International Conference on Computer Design.

[258]  Kurt Keutzer,et al.  Delay-fault test generation and synthesis for testability under a standard scan design methodology , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[259]  Pierre G. Paulin,et al.  Force-directed scheduling for the behavioral synthesis of ASICs , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[260]  Minesh B. Amin,et al.  Efficient compression and application of deterministic patterns in a logic BIST architecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[261]  Toshiyuki Maeda,et al.  Recognition of Sensitized Longest Paths in Transition Delay Test , 2006, 2006 IEEE International Test Conference.

[262]  H. Fair,et al.  Clocking design and analysis for a 600 MHz Alpha microprocessor , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[263]  Sachin S. Sapatnekar,et al.  Routing Congestion in VLSI Circuits - Estimation and Optimization , 2007, Series on Integrated Circuits and Systems.

[264]  Leonidas J. Guibas,et al.  On Computing All North-East Nearest Neighbors in the L1 Metric , 1983, Inf. Process. Lett..

[265]  Heinrich Meyr,et al.  A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[266]  Michel Dagenais,et al.  McBOOLE: A New Procedure for Exact Logic Minimization , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[267]  Edward J. McCluskey,et al.  Linear Feedback Shift Register Design Using Cyclic Codes , 1988, IEEE Trans. Computers.

[268]  Li-Da Huang,et al.  Redundant-via enhanced maze routing for yield improvement , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[269]  Rao Tummala,et al.  Fundamentals of Microsystems Packaging , 2001 .

[270]  Alberto L. Sangiovanni-Vincentelli,et al.  Multiple-Valued Minimization for PLA Optimization , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[271]  Yao-Wen Chang,et al.  SoC test scheduling using the B*-tree based floorplanning technique , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[272]  Edward J. McCluskey,et al.  Condensed Linear Feedback Shift Register (LFSR) Testing—A Pseudoexhaustive Test Technique , 1986, IEEE Transactions on Computers.

[273]  Brion L. Keller,et al.  A SmartBIST variant with guaranteed encoding , 2001, Proceedings 10th Asian Test Symposium.

[274]  Shi-Yu Huang,et al.  AQUILA: An Equivalence Checking System for Large Sequential Designs , 2000, IEEE Trans. Computers.

[275]  C. D. Gelatt,et al.  Optimization by Simulated Annealing , 1983, Science.

[276]  Armin Biere,et al.  Symbolic Model Checking without BDDs , 1999, TACAS.

[277]  Kanwar Jit Singh Performance optimization of digital circuits , 1992 .

[278]  Alfred V. Aho,et al.  Data Structures and Algorithms , 1983 .

[279]  Christoph Scholl,et al.  Functional Decomposition With Application to FPGA Syn-thesis , 2001 .

[280]  Ren-Song Tsay,et al.  An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[281]  Masato Edahiro,et al.  An Efficient Zero-Skew Routing Algorithm , 1994, 31st Design Automation Conference.

[282]  Nilanjan Mukherjee,et al.  X-Press: Two-Stage X-Tolerant Compactor With Programmable Selector , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[283]  Ibrahim N. Hajj,et al.  Simulation and optimization of the power distribution network in VLSI circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[284]  Chris C. N. Chu,et al.  RQL: Global Placement via Relaxed Quadratic Spreading and Linearization , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[285]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[286]  Wolfgang Kunz,et al.  HANNIBAL: An efficient tool for logic verification based on recursive learning , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[287]  Jacob A. Abraham,et al.  Program slicing for ATPG-based property checking , 2004, 17th International Conference on VLSI Design. Proceedings..

[288]  Yao-Wen Chang,et al.  Modern floorplanning based on fast simulated annealing , 2005, ISPD '05.

[289]  Yao-Wen Chang,et al.  Multilevel Full-Chip Gridless Routing With Applications to Optical-Proximity Correction , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[290]  Raul Camposano,et al.  Path-based scheduling for synthesis , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[291]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[292]  Yao-Wen Chang,et al.  IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[293]  Paolo Prinetto,et al.  Scan insertion criteria for low design impact , 1996, Proceedings of 14th VLSI Test Symposium.

[294]  M. Golumbic Algorithmic graph theory and perfect graphs , 1980 .

[295]  Sani R. Nassif,et al.  Multigrid-like technique for power grid analysis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[296]  Yosinori Watanabe,et al.  Logic decomposition during technology mapping , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[297]  E.J. McCluskey,et al.  A self-test and self-diagnosis architecture for boards using boundary scans , 1989, [1989] Proceedings of the 1st European Test Conference.

[298]  Hai Zhou,et al.  ACG-adjacent constraint graph for general floorplans , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[299]  Chak-Kuen Wong,et al.  New algorithms for the rectilinear Steiner tree problem , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[300]  Charles E. Stroud A Designer's Guide to Built-In Self-Test , 2002 .

[301]  Dieter A. Mlynski,et al.  Computation of Power Supply Nets in VLSI Layout , 1981, 18th Design Automation Conference.

[302]  A. Mishchenko,et al.  Scalable exploration of functional dependency by interpolation and incremental SAT solving , 2007, ICCAD 2007.

[303]  Massoud Pedram,et al.  Multi-pad power/ground network design for uniform distribution of ground bounce , 1998, DAC.

[304]  Andrew B. Kahng,et al.  Multilevel circuit partitioning , 1997, DAC.

[305]  Wolfgang Roesner,et al.  Comprehensive Functional Verification: The Complete Industry Cycle , 2005 .

[306]  Dennis Sylvester,et al.  Clock buffer and wire sizing using sequential programming , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[307]  P. R. Menon,et al.  Critical Path Tracing: An Alternative to Fault Simulation , 1984, IEEE Des. Test.

[308]  Leon I. Maissel,et al.  An Introduction to Array Logic , 1975, IBM J. Res. Dev..

[309]  Hideo Fujiwara,et al.  The Complexity of Fault Detection Problems for Combinational Logic Circuits , 1982, IEEE Transactions on Computers.

[310]  Niraj K. Jha,et al.  Testing of Digital Systems , 2003 .

[311]  Masahiro Fujita,et al.  Automatic test pattern generation for functional register-transferlevel circuits using assignment decision diagrams , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[312]  Kwang-Ting Cheng,et al.  Improving the test quality for scan-based BIST using a general test application scheme , 1999, DAC '99.

[313]  Vishwani D. Agrawal,et al.  Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.

[314]  Yao-Wen Chang,et al.  TCG-S: orthogonal coupling of P/sup */-admissible representations for general floorplans , 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[315]  G. S. Tseitin On the Complexity of Derivation in Propositional Calculus , 1983 .

[316]  Nils J. Nilsson,et al.  A Formal Basis for the Heuristic Determination of Minimum Cost Paths , 1968, IEEE Trans. Syst. Sci. Cybern..

[317]  Chris C. N. Chu,et al.  An efficient and effective detailed placement algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[318]  René David,et al.  About Random Fault Detection of Combinational Networks , 1976, IEEE Transactions on Computers.

[319]  Robert K. Brayton,et al.  Using SAT for combinational equivalence checking , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[320]  Melvin A. Breuer,et al.  A class of min-cut placement algorithms , 1988, DAC '77.

[321]  Gilles Kahn,et al.  The Semantics of a Simple Language for Parallel Programming , 1974, IFIP Congress.

[322]  M. K. Gowan,et al.  A 65 nm 2-Billion Transistor Quad-Core Itanium Processor , 2009, IEEE Journal of Solid-State Circuits.

[323]  Tom Melham,et al.  Abstraction Mechanisms for Hardware Verification , 1988 .

[324]  Lawrence Charles Paulson,et al.  Isabelle/HOL: A Proof Assistant for Higher-Order Logic , 2002 .

[325]  Robert K. Brayton,et al.  Timing analysis and delay-fault test generation using path-recursive functions , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[326]  R. Rudell Dynamic variable ordering for ordered binary decision diagrams , 1993, ICCAD 1993.

[327]  Charles E. Leiserson,et al.  Retiming synchronous circuitry , 1988, Algorithmica.

[328]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[329]  H. Murata,et al.  Rectangle-packing-based module placement , 1995, ICCAD 1995.

[330]  John E. Bauer,et al.  An Advanced Fault Isolation System for Digital Logic , 1975, IEEE Transactions on Computers.

[331]  Nandu Tendolkar,et al.  Novel techniques for achieving high at-speed transition fault test coverage for Motorola's microprocessors based on PowerPC/spl trade/ instruction set architecture , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[332]  Dhiraj K. Pradhan,et al.  Accelerated dynamic learning for test pattern generation in combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[333]  Edmund M. Clarke,et al.  Symbolic Model Checking: 10^20 States and Beyond , 1990, Inf. Comput..

[334]  Chung-Kuan Cheng,et al.  Two-Stage Newton-Raphson Method for Transistor-Level Simulation , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[335]  Kaushik Roy,et al.  Decoupling capacitance allocation for power supply noise suppression , 2001, ISPD '01.

[336]  Sheldon B. Akers,et al.  Binary Decision Diagrams , 1978, IEEE Transactions on Computers.

[337]  Prathima Agrawal,et al.  A directed search method for test generation using a concurrent simulator , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[338]  Xinghao Chen,et al.  Area-efficient dual-port memory architecture for multi-core processors , 2006 .

[339]  John H. Holland,et al.  Adaptation in Natural and Artificial Systems: An Introductory Analysis with Applications to Biology, Control, and Artificial Intelligence , 1992 .

[340]  I. Sutherland,et al.  Logical Effort: Designing Fast CMOS Circuits , 1999 .

[341]  Kinya Tabuchi,et al.  A computer program for optimal routing of printed circuit conductors , 1968, IFIP Congress.

[342]  Donald E. Thomas,et al.  The system architect's workbench , 1988, DAC '88.

[343]  Peter Marwedel A new synthesis for the MIMOLA software system , 1986, DAC.

[344]  Michael H. Schulz,et al.  Improved deterministic test pattern generation with applications to redundancy identification , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[345]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.

[346]  John K. Ousterhout,et al.  Corner Stitching: A Data-Structuring Technique for VLSI Layout Tools , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[347]  EDWARD J. McCLUSKEY,et al.  Fault Equivalence in Combinational Logic Networks , 1971, IEEE Transactions on Computers.

[348]  Janak H. Patel,et al.  A graph traversal based framework for sequential logic implication with an application to C-cycle redundancy identification , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

[349]  Alice C. Parker,et al.  MAHA: A Program for Datapath Synthesis , 1986, DAC 1986.

[350]  Yao-Wen Chang,et al.  Full-Chip Nanometer Routing Techniques , 2007, Analog Circuits and Signal Processing.

[351]  Yao-Wen Chang,et al.  Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[352]  Malgorzata Marek-Sadowska,et al.  General skew constrained clock network sizing based on sequential linear programming , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[353]  Martin D. F. Wong,et al.  Model-based dummy feature placement for oxide chemical-mechanical polishing manufacturability , 2000, DAC.

[354]  Kuen-Jong Lee,et al.  Using a single input to support multiple scan chains , 1998, ICCAD '98.

[355]  Martin D. F. Wong,et al.  Fast algorithms for IR drop analysis in large power grid , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[356]  Eric Lindbloom,et al.  Transition Fault Simulation , 1987, IEEE Design & Test of Computers.

[357]  Subhasish Mitra,et al.  X-compact: an efficient response compaction technique , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[358]  Thomas W. Williams,et al.  Design of compactors for signature-analyzers in built-in self-test , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[359]  Alexandru Nicolau,et al.  Incremental tree height reduction for high level synthesis , 1991, 28th ACM/IEEE Design Automation Conference.

[360]  Yici Cai,et al.  Corner block list: an effective and efficient topological representation of non-slicing floorplan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[361]  Richard Bellman,et al.  ON A ROUTING PROBLEM , 1958 .

[362]  Forrest Brewer Constraint driven behavioral synthesis , 1988 .

[363]  John Lillis,et al.  Interconnect Analysis and Synthesis , 1999 .

[364]  Ernest S. Kuh,et al.  Power and ground network topology optimization for cell based VLSIs , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[365]  Srivaths Ravi,et al.  Fast test generation for circuits with RTL and gate-level views , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[366]  Edward J. McCluskey,et al.  Hybrid designs generating maximum-length sequences , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[367]  Michael S. Hsiao,et al.  Efficient ATPG for design validation based on partitioned state exploration histories , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[368]  Xinghao Chen,et al.  Digital Design: Basic Concepts and Principles , 2007 .

[369]  Kurt Keutzer,et al.  Logic Synthesis , 1994 .

[370]  Shin'ichiro Mutoh,et al.  1V high-speed digital circuit technology with 0.5/spl mu/m multi-threshold CMOS , 1993, Sixth Annual IEEE International ASIC Conference and Exhibit.

[371]  Cheng-Kok Koh,et al.  Fast simulation of VLSI interconnects , 2004, ICCAD 2004.

[372]  Nur A. Touba X-canceling MISR — An X-tolerant methodology for compacting output responses with unknowns using a MISR , 2007, 2007 IEEE International Test Conference.

[373]  James B. Angell,et al.  Enhancing Testability of Large-Scale Integrated Circuits via Test Points and Additional Logic , 1973, IEEE Transactions on Computers.

[374]  Yao-Wen Chang,et al.  A novel framework for multilevel routing considering routability and performance , 2002, ICCAD 2002.

[375]  Sheldon B. Akers,et al.  A Modification of Lee's Path Connection Algorithm , 1967, IEEE Trans. Electron. Comput..

[376]  Jason Cong,et al.  Optimal wiresizing for interconnects with multiple sources , 1995, TODE.

[377]  Andrew B. Kahng,et al.  A fast hierarchical quadratic placement algorithm , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[378]  B. Nadeau-Dosti,et al.  Structural test with functional characteristics , 2005, Proceedings. 2005 IEEE International Workshop on Current and Defect Based Testing, 2005. DBT 2005..

[379]  Rob A. Rutenbar,et al.  ASTRX/OBLX: Tools for Rapid Synthesis of High-Performance Analog Circuits , 1994, 31st Design Automation Conference.

[380]  Shen Lin,et al.  Challenges in power-ground integrity , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[381]  Janak H. Patel,et al.  Enhancement of the Illinois scan architecture for use with multiple scan inputs , 2004, IEEE Computer Society Annual Symposium on VLSI.

[382]  Y. Tsividis Operation and modeling of the MOS transistor , 1987 .

[383]  Andreas Kuehlmann,et al.  Equivalence checking using cuts and heaps , 1997, DAC.

[384]  Jörg Henkel,et al.  The COSYMA environment for hardware/software cosynthesis of small embedded systems , 1996, Microprocess. Microsystems.

[385]  Yao-Wen Chang,et al.  MR: a new framework for multilevel full-chip routing , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[386]  Edward A. Lee,et al.  Comparing models of computation , 1996, ICCAD 1996.

[387]  Minsik Cho,et al.  Wire Density Driven Global Routing for CMP Variation and Timing , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[388]  Pinaki Mazumder,et al.  A genetic approach to standard cell placement using meta-genetic parameter optimization , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[389]  Miron Abramovici,et al.  FIRE: a fault-independent combinational redundancy identification algorithm , 1996, IEEE Trans. Very Large Scale Integr. Syst..

[390]  Malgorzata Marek-Sadowska,et al.  Power optimal buffered clock tree design , 1995, DAC '95.

[391]  A. Uzzaman,et al.  Using Programmable On-Product Clock Generation (OPCG) for Delay Test , 2007, 16th Asian Test Symposium (ATS 2007).

[392]  M. Ray Mercer,et al.  Testability Measures : What Do They Tell Us ? , 1982, ITC.

[393]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[394]  F. Hwang On Steiner Minimal Trees with Rectilinear Distance , 1976 .

[395]  C. L. Liu,et al.  Minimum crosstalk channel routing , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[396]  Robert K. Brayton,et al.  Multilevel logic synthesis , 1990, Proc. IEEE.

[397]  Srinivas Patil,et al.  Broad-side delay test , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[398]  Robert K. Brayton,et al.  A theory of nondeterministic networks , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[399]  Melvin A. Breuer A Random and an Algorithmic Technique for Fault Detection Test Generation for Sequential Circuits , 1971, IEEE Transactions on Computers.

[400]  Prabhakar Goel,et al.  An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits , 1981, IEEE Transactions on Computers.

[401]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[402]  S. Minato Binary Decision Diagrams and Applications for VLSI CAD , 1995 .

[403]  Nikil D. Dutt,et al.  Functional coverage driven test generation for validation of pipelined processors , 2005, Design, Automation and Test in Europe.

[404]  Laung-Terng Wang,et al.  An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing , 2007, 16th Asian Test Symposium (ATS 2007).

[405]  Chia-Lin Yang,et al.  Temporal floorplanning using the T-tree formulation , 2004, ICCAD 2004.

[406]  Robert K. Brayton,et al.  DAG-aware AIG rewriting: a fresh look at combinational logic synthesis , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[407]  Yiyu Shi,et al.  CDCTree: novel obstacle-avoiding routing tree construction based on current driven circuit model , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[408]  Tiziano Villa,et al.  Synthesis of Finite State Machines: Logic Optimization , 1997 .

[409]  Peter Muth,et al.  A Nine-Valued Circuit Model for Test Generation , 1976, IEEE Transactions on Computers.

[410]  Rajendran Panda,et al.  Hierarchical analysis of power distribution networks , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[411]  Srinivas Patil,et al.  On broad-side delay test , 1994, Proceedings of IEEE VLSI Test Symposium.

[412]  D. R. Fulkerson,et al.  Flows in Networks. , 1964 .

[413]  Yao-Wen Chang,et al.  Multilevel routing with antenna avoidance , 2004, ISPD '04.

[414]  Jan-Ming Ho,et al.  Zero skew clock net routing , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[415]  Louise Trevillyan,et al.  Logic Synthesis Through Local Transformations , 1981, IBM J. Res. Dev..

[416]  Shianling Wu,et al.  A Novel and Practical Control Scheme for Inter-Clock At-Speed Testing , 2006, 2006 IEEE International Test Conference.

[417]  Janak H. Patel,et al.  PROOFS: a fast, memory-efficient sequential circuit fault simulator , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[418]  Pascal Raymond,et al.  The synchronous data flow programming language LUSTRE , 1991, Proc. IEEE.

[419]  Clay S. Gloster,et al.  Boundary scan with cellular-based built-in self-test , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

[420]  Nikil D. Dutt,et al.  EXPRESSION: a language for architecture exploration through compiler/simulator retargetability , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[421]  F. Schirrmeister,et al.  Methodology and technology for virtual component driven hardware/software co-design on the system-level , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[422]  C. Reeves Modern heuristic techniques for combinatorial problems , 1993 .

[423]  J.A. Roy,et al.  High-performance routing at the nanometer scale , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[424]  Mark Ryan,et al.  Logic in Computer Science: Modelling and Reasoning about Systems , 2000 .

[425]  E. McCluskey Minimization of Boolean functions , 1956 .

[426]  Robert K. Brayton,et al.  Retiming and Resynthesis: A Complexity Perspective , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[427]  Avner Landver,et al.  Coverage-Directed Test Generation Using Symbolic Techniques , 1996, FMCAD.

[428]  Edward A. Feigenbaum,et al.  Switching and Finite Automata Theory: Computer Science Series , 1990 .

[429]  David G. Luenberger,et al.  Linear and Nonlinear Programming: Second Edition , 2003 .

[430]  Jianwen Zhu Symbolic pointer analysis , 2002, ICCAD 2002.

[431]  Mattan Kamon,et al.  FASTHENRY: a multipole-accelerated 3-D inductance extraction program , 1994 .

[432]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[433]  Jason Cong,et al.  Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning , 2007, 2007 Asia and South Pacific Design Automation Conference.

[434]  Wei-Lun Hung,et al.  Inductive equivalence checking under retiming and resynthesis , 2007, ICCAD 2007.

[435]  Santanu Dutta,et al.  Viper: A Multiprocessor SOC for Advanced Set-Top Box and Digital TV Systems , 2001, IEEE Des. Test Comput..

[436]  Janak H. Patel,et al.  HITEC: a test generation package for sequential circuits , 1991, Proceedings of the European Conference on Design Automation..

[437]  Andrew B. Kahng,et al.  On the Bounded-Skew Clock and Steiner Routing Problems , 1995, 32nd Design Automation Conference.

[438]  Edward McCluskey,et al.  Built-In Self-Test Structures , 1985, IEEE Design & Test of Computers.

[439]  M. L. Yu,et al.  A Study of the Applicability of Hopfield Decision Neural Nets to VLSI CAD , 1989, 26th ACM/IEEE Design Automation Conference.

[440]  Olivier Coudert,et al.  Doing two-level logic minimization 100 times faster , 1995, SODA '95.

[441]  Yao-Wen Chang,et al.  Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[442]  M. Gruetzner,et al.  Aliasing Errors in Signature in Analysis Registers , 1987, IEEE Design & Test of Computers.

[443]  Janusz Rajski,et al.  A method to calculate necessary assignments in algorithmic test pattern generation , 1990, Proceedings. International Test Conference 1990.

[444]  Robert K. Brayton,et al.  Regular Fabrics in Deep Sub-Micron Integrated-Circuit Design , 2004, IWLS.

[445]  Sachin S. Sapatnekar,et al.  Fast analysis and optimization of power/ground networks , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[446]  W. W. Peterson,et al.  Error-Correcting Codes. , 1962 .

[447]  C. Hoer,et al.  Exact inductance equations for rectangular conductors with applications to more complicated geometries , 1965 .

[448]  Joao Marques-Silva,et al.  Satisfiability models and algorithms for circuit delay computation , 2002, TODE.

[449]  Daniel P. Siewiorek,et al.  Automated Synthesis of Data Paths in Digital Systems , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[450]  Andrew B. Kahng,et al.  Classical floorplanning harmful? , 2000, ISPD '00.

[451]  Luther C. Abel On the Ordering of Connections for Automatic Wire Routing , 1972, IEEE Transactions on Computers.

[452]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[453]  Chris C. N. Chu,et al.  FastRoute 2.0: A High-quality and Efficient Global Router , 2007, 2007 Asia and South Pacific Design Automation Conference.

[454]  Dynamic state traversal for sequential circuit test generation , 2000, TODE.

[455]  Li-Da Huang,et al.  Optical proximity correction (OPC): friendly maze routing , 2004, DAC.

[456]  Majid Sarrafzadeh,et al.  Activity-sensitive clock tree construction for low power , 2002, ISLPED '02.

[457]  Rajendran Panda,et al.  Optimal placement of power-supply pads and pins , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[458]  J. Hopcroft,et al.  Algorithm 447: efficient algorithms for graph manipulation , 1973, CACM.

[459]  Robert K. Brayton,et al.  Integrating functional and temporal domains in logic design , 1991 .

[460]  Tung-Chieh Chen,et al.  A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[461]  C. L. Liu,et al.  A New Algorithm for Floorplan Design , 1986, DAC 1986.

[462]  Brian W. Kernighan,et al.  A Procedure for Placement of Standard-Cell VLSI Circuits , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[463]  David Z. Pan,et al.  Fast substrate noise-aware floorplanning with preference directed graph for mixed-signal SOCs , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[464]  Anant Agarwal,et al.  Logic emulation with virtual wires , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[465]  James P. Cohoon,et al.  Genetic Placement , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[466]  H. Hara,et al.  50% active-power saving without speed degradation using standby power reduction (SPR) circuit , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.

[467]  David S. Johnson,et al.  The Rectilinear Steiner Tree Problem is NP Complete , 1977, SIAM Journal of Applied Mathematics.

[468]  M. Gordon,et al.  PROVING A COMPUTER CORRECT IN HIGHER ORDER LOGIC , 1986 .

[469]  J. Paul Roth,et al.  Diagnosis of automata failures: a calculus and a method , 1966 .

[470]  Ta-Wei Wang,et al.  NTHU-Route 2.0: a fast and stable global router , 2008, ICCAD 2008.

[471]  Chris C. N. Chu,et al.  Bounds on the number of slicing, mosaic, and general floorplans , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[472]  P. Madden,et al.  Improved cut sequences for partitioning based placement , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[473]  Alon Gluska Coverage-oriented verification of Banias , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[474]  M. Ray Mercer,et al.  A Topological Search Algorithm for ATPG , 1987, 24th ACM/IEEE Design Automation Conference.

[475]  Sujit Dey,et al.  Software-based self-test methodology for crosstalk faults in processors , 2003, Eighth IEEE International High-Level Design Validation and Test Workshop.

[476]  Shmuel Ur,et al.  Micro architecture coverage directed generation of test programs , 1999, DAC '99.

[477]  Markus Freericks,et al.  Describing instruction set processors using nML , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

[478]  Michael S. Hsiao Maximizing impossibilities for untestable fault identification , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[479]  Allen Van Gelder,et al.  Computer Algorithms: Introduction to Design and Analysis , 1978 .

[480]  Pak K. Chan,et al.  Computing signal delay in general RC networks by tree/link partitioning , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[481]  Albert E. Ruehli,et al.  The modified nodal approach to network analysis , 1975 .

[482]  Alex Orailoglu,et al.  Test volume and application time reduction through scan chain concealment , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[483]  Rohit Kapur,et al.  A reconfigurable shared scan-in architecture , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[484]  David Z. Pan,et al.  ISPD placement contest updates and ISPD 2007 global routing contest , 2007, ISPD '07.

[485]  Xiaoqing Wen,et al.  VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon) , 2006 .

[486]  Fadi J. Kurdahi,et al.  REAL: A Program for REgister ALlocation , 1987, 24th ACM/IEEE Design Automation Conference.

[487]  E. Alon,et al.  The implementation of a 2-core, multi-threaded itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[488]  Janak H. Patel,et al.  Application of Saluja-Karpovsky compactors to test responses with many unknowns , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[489]  Nilanjan Mukherjee,et al.  Embedded deterministic test , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[490]  Kenneth W. Martin,et al.  Digital Integrated Circuit Design , 1999 .

[491]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[492]  Lawrence T. Pileggi,et al.  The Elmore delay as a bound for RC trees with generalized input signals , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[493]  Nikil Dutt,et al.  Processor Description Languages , 2008 .

[494]  J. Cong,et al.  Fast floorplanning by look-ahead enabled recursive bipartitioning , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[495]  G. Nemhauser,et al.  Integer Programming , 2020 .

[496]  M. Hanan,et al.  On Steiner’s Problem with Rectilinear Distance , 1966 .

[497]  Nikil D. Dutt,et al.  SPARK: a high-level synthesis framework for applying parallelizing compiler transformations , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[498]  Donald E. Knuth,et al.  Big Omicron and big Omega and big Theta , 1976, SIGA.

[499]  Hugo De Man,et al.  Cellular automata based self-test for programmable data paths , 1990, Proceedings. International Test Conference 1990.

[500]  Rodham E. Tulloss,et al.  The Test Access Port and Boundary Scan Architecture , 1990 .

[501]  Robert K. Brayton,et al.  Efficient Algorithms for Computing the Longest Viable Path in a Combinational Network , 1989, 26th ACM/IEEE Design Automation Conference.

[502]  Sabih H. Gerez,et al.  Algorithms for VLSI design automation , 1998 .

[503]  C. Y. Lee An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..

[504]  Matthias Beck,et al.  Logic design for on-chip test clock generation - implementation details and impact on delay test quality , 2005, Design, Automation and Test in Europe.

[505]  Howard C. Card,et al.  Cellular automata-based pseudorandom number generators for built-in self-test , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[506]  L. H. Goldstein,et al.  SCOAP: Sandia Controllability/Observability Analysis Program , 1988, 17th Design Automation Conference.

[507]  Alan Martin,et al.  Adequate Sets of Temporal Connectives in CTL , 2002, EXPRESS.

[508]  Thomas G. Szymanski Dogleg Channel Routing is NP-Complete , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[509]  Edward A. Lee,et al.  Static Scheduling of Synchronous Data Flow Programs for Digital Signal Processing , 1989, IEEE Transactions on Computers.

[510]  M. K. Gowan,et al.  A 65nm 2-Billion-Transistor Quad-Core Itanium® Processor , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[511]  Mahmut T. Kandemir,et al.  Memory system optimization of embedded software , 2003, Proc. IEEE.

[512]  Nur A. Touba,et al.  Adjustable Width Linear Combinational Scan Vector Decompression , 2003, ICCAD 2003.

[513]  Jongshin Shin,et al.  A genetic approach to automatic bias generation for biased random instruction generation , 2001, Proceedings of the 2001 Congress on Evolutionary Computation (IEEE Cat. No.01TH8546).

[514]  Nur A. Touba,et al.  Test vector encoding using partial LFSR reseeding , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[515]  Hai Zhou Efficient Steiner tree construction based on spanning graphs , 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[516]  Michael S. Hsiao,et al.  Efficient sequential atpg for functional rtl circuits , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[517]  Janak H. Patel,et al.  Reducing test application time for full scan embedded cores , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).

[518]  M. A. Breuer,et al.  The Construction of Minimal Area Power and Ground Nets for VLSI Circuits , 1985, DAC 1985.

[519]  Wayne Wei-Ming Dai,et al.  Useful-skew clock routing with gate sizing for low power design , 1996, DAC '96.

[520]  Andrew Piziali,et al.  Functional verification coverage measurement and analysis , 2004 .

[521]  Scott Hazelhurst,et al.  A hybrid verification approach: getting deep into the design , 2002, DAC '02.

[522]  Michael S. Hsiao,et al.  Sequential circuit test generation using dynamic state traversal , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[523]  Daniel D. Gajski,et al.  SPECC: Specification Language and Methodology , 2000 .

[524]  Edward J. McCluskey,et al.  Probabilistic Treatment of General Combinational Networks , 1975, IEEE Transactions on Computers.

[525]  John P. Hayes,et al.  Transition Count Testing of Combinational Logic Circuits , 1976, IEEE Transactions on Computers.

[526]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[527]  Jayaram Bhasker,et al.  An optimizer for hardware synthesis , 1990, IEEE Design & Test of Computers.

[528]  Tiziano Villa,et al.  Solution of parallel language equations for logic synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[529]  Cheng-Kok Koh,et al.  Exact closed form formula for partial mutual inductances of on-chip interconnects , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[530]  Hideo Fujiwara,et al.  On the Acceleration of Test Generation Algorithms , 1983, IEEE Transactions on Computers.

[531]  Samir Palnitkar Design Verification with e , 2003 .

[532]  P. Bjesse,et al.  DAG-aware circuit compression for formal verification , 2004, ICCAD 2004.

[533]  David Hung-Chang Du,et al.  Path sensitization in critical path problem , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[534]  M. Sarrafzadeh,et al.  Activity-driven clock design for low power circuits , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[535]  Natarajan Shankar,et al.  PVS: A Prototype Verification System , 1992, CADE.

[536]  Joseph Varghese,et al.  An efficient logic emulation system , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[537]  Gi-Joon Nam,et al.  The ISPD2005 placement contest and benchmark suite , 2005, ISPD '05.

[538]  Andrew B. Kahng,et al.  Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.

[539]  William W. Cohen,et al.  Synthesis and Optimization of Multilevel Logic under Timing Constraints , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[540]  Pinaki Mazumder,et al.  VLSI cell placement techniques , 1991, CSUR.

[541]  Yao-Wen Chang,et al.  An Optimal Jumper-Insertion Algorithm for Antenna Avoidance/Fixing , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[542]  M. Gordon,et al.  Introduction to HOL: a theorem proving environment for higher order logic , 1993 .

[543]  P. Spindler,et al.  Fast and Robust Quadratic Placement Combined with an Exact Linear Net Model , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[544]  Erik Brockmeyer,et al.  Data and memory optimization techniques for embedded systems , 2001, TODE.

[545]  Narendra Karmarkar,et al.  A new polynomial-time algorithm for linear programming , 1984, Comb..

[546]  Solomon W. Golomb,et al.  Shift Register Sequences , 1981 .

[547]  Kenneth L. McMillan,et al.  Symbolic model checking: an approach to the state explosion problem , 1992 .

[548]  Alessandro Bogliolo,et al.  Clock skew optimization for peak current reduction , 1996 .

[549]  Yao-Wen Chang,et al.  Modern floorplanning based on B/sup */-tree and fast simulated annealing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[550]  Yao-Wen Chang,et al.  Placement of digital microfluidic biochips using the T-tree formulation , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[551]  Nur A. Touba,et al.  Survey of Test Vector Compression Techniques , 2006, IEEE Design & Test of Computers.

[552]  Evangeline F. Y. Young,et al.  Multi-bend bus driven floorplanning , 2005, ISPD '05.

[553]  Jarrod A. Roy,et al.  Unification of partitioning, placement and floorplanning , 2004, ICCAD 2004.

[554]  Jianwen Zhu,et al.  BddCut: Towards Scalable Symbolic Cut Enumeration , 2007, 2007 Asia and South Pacific Design Automation Conference.

[555]  Kwang-Ting Cheng,et al.  Using word-level ATPG and modular arithmetic constraint-solvingtechniques for assertion property checking , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[556]  Yici Cai,et al.  Improved multilevel routing with redundant via placement for yield and reliability , 2005, GLSVLSI '05.

[557]  Andrew Piziali Verification Planning to Functional Closure of Processor-Based SoCs , 2006 .

[558]  Giovanni De Micheli,et al.  Resolution, optimization, and encoding of pointer variables for thebehavioral synthesis from C , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[559]  Jason Cong,et al.  Modern Circuit Placement, Best Practices and Results , 2007 .

[560]  S. Smale Mathematical problems for the next century , 1998 .

[561]  Jiang Hu,et al.  Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..

[562]  Frank O. Hadlock,et al.  A shortest path algorithm for grid graphs , 1977, Networks.

[563]  Erik H. Volkerink,et al.  Response compaction with any number of unknowns using a new LFSR architecture , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[564]  Bo Hu,et al.  FAR: fixed-points addition & relaxation based placement , 2002, ISPD '02.

[565]  B. Koenemann LFSR-coded test patterns for scan designs , 1991 .

[566]  R. Tsay Exact zero skew , 1991, ICCAD 1991.

[567]  A. Gibbons Algorithmic Graph Theory , 1985 .

[568]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[569]  Patrick H. Madden,et al.  Global objectives for standard cell placement , 2001, GLSVLSI '01.

[570]  Patrick H. Madden,et al.  Fractional Cut: Improved Recursive Bisection Placement , 2003, ICCAD 2003.

[571]  H. Bajwa,et al.  Low-Power High-Performance and Dynamically Configured Multi-Port Cache Memory Architecture , 2007, 2007 International Conference on Electrical Engineering.

[572]  John P. Hayes,et al.  Optimal Zero-Aliasing Space Compaction of Test Responses , 1998, IEEE Trans. Computers.

[573]  Parker,et al.  Design for Testability—A Survey , 1982, IEEE Transactions on Computers.

[574]  Yoji Kajitani,et al.  The 3 D-Packing by Meta Data Structure and Packing Heuristics , 2000 .

[575]  Chung-Kuan Cheng,et al.  Routability improvement using dynamic interconnect architecture , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[576]  Melvin A. Breuer A Note on Three-Valued Logic Simulation , 1972, IEEE Transactions on Computers.

[577]  Jiri Soukup,et al.  Fast Maze Router , 1978, 15th Design Automation Conference.

[578]  Fumiyasu Hirose,et al.  Automatic Test Program Generation For Pipelined Processors , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[579]  Jacob A. Abraham,et al.  Abstraction Techniques for Validation Coverage Analysis and Test Generation , 1998, IEEE Trans. Computers.

[580]  Donald T. Tang,et al.  Logic Test Pattern Generation Using Linear Codes , 1984, IEEE Transactions on Computers.

[581]  Charlie Chung-Ping Chen,et al.  INDUCTWISE: inductance-wise interconnect simulator and extractor , 2002, ICCAD 2002.

[582]  Cheng-Kok Koh,et al.  Power supply noise suppression via clock skew scheduling , 2002, Proceedings International Symposium on Quality Electronic Design.

[583]  Alfred V. Aho,et al.  Optimal Code Generation for Expression Trees , 1976, J. ACM.

[584]  Malgorzata Marek-Sadowska,et al.  Clock skew optimization for ground bounce control , 1996, ICCAD 1996.

[585]  Yu Hu,et al.  Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[586]  David Z. Pan,et al.  Diffusion-based placement migration , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[587]  Pong-Fei Lu,et al.  Physical design of a fourth-generation POWER GHz microprocessor , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[588]  Luis Piñuel,et al.  Optimizing the memory bandwidth with loop morphing , 2004 .

[589]  David E. Goldberg,et al.  Genetic Algorithms in Search Optimization and Machine Learning , 1988 .

[590]  Jason Cong,et al.  An enhanced multilevel routing system , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[591]  Todd M. Austin,et al.  StressTest: an automatic approach to test generation via activity monitors , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[592]  Daniel L. Ostapko,et al.  MINI: A Heuristic Approach for Logic Minimization , 1974, IBM J. Res. Dev..

[593]  John A. Waicukauski,et al.  Transition Fault Simulation by Parallel Pattern Single Fault Propagation , 1986, International Test Conference.

[594]  Yao-Wen Chang,et al.  Efficient multi-layer obstacle-avoiding rectilinear Steiner tree construction , 2007, ICCAD 2007.

[595]  Gi-Joon Nam,et al.  ISPD 2006 Placement Contest: Benchmark Suite and Results , 2006, ISPD '06.

[596]  Jason Cong,et al.  Buffer block planning for interconnect-driven floorplanning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[597]  Brian Bailey,et al.  ESL Design and Verification: A Prescription for Electronic System Level Methodology , 2007 .

[598]  Martin D. F. Wong,et al.  FAST-SP: a fast algorithm for block placement based on sequence pair , 2001, ASP-DAC '01.

[599]  Avi Ziv,et al.  Coverage directed test generation for functional verification using Bayesian networks , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[600]  Chong-Min Kyung,et al.  A floorplan-based planning methodology for power and clock distribution in ASICs , 1999, DAC '99.

[601]  Jacob Savir,et al.  Built In Test for VLSI: Pseudorandom Techniques , 1987 .

[602]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[603]  Janusz Rajski,et al.  Ring generators - new devices for embedded test applications , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[604]  Janak H. Patel,et al.  Reconfiguration technique for reducing test time and test data volume in Illinois Scan Architecture based designs , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[605]  Marios C. Papaefthymiou,et al.  Skew spreading for peak current reduction , 2007, GLSVLSI '07.

[606]  Larry J. Stockmeyer,et al.  Optimal Orientations of Cells in Slicing Floorplan Designs , 1984, Inf. Control..

[607]  Yici Cai,et al.  A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[608]  Nur A. Touba,et al.  Synthesis of zero-aliasing elementary-tree space compactors , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[609]  Sudhakar M. Reddy,et al.  Finite memory test response compactors for embedded test applications , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[610]  Chris C. N. Chu,et al.  FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm , 2007, Modern Circuit Placement.

[611]  Carl Sechen Chip-planning, placement, and global routing of macro/custom cell integrated circuits using simulated annealing , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[612]  Joao Marques-Silva,et al.  GRASP: A Search Algorithm for Propositional Satisfiability , 1999, IEEE Trans. Computers.

[613]  Alex Orailoglu,et al.  Concurrent Application of Compaction and Compression for Test Time and Data Volume Reduction in Scan Designs , 2003, IEEE Trans. Computers.

[614]  Takeshi Yoshimura,et al.  An O-tree representation of non-slicing floorplan and its applications , 1999, DAC '99.

[615]  Ernst G. Ulrich Exclusive simulation of activity in digital networks , 1969, CACM.

[616]  John J. Zasio,et al.  SSIM: A Software Levelized Compiled-Code Simulator , 1987, 24th ACM/IEEE Design Automation Conference.

[617]  David S. Johnson,et al.  Some simplified NP-complete problems , 1974, STOC '74.

[618]  Huawei Li,et al.  Embedded test resource for SoC to reduce required tester channels based on advanced convolutional codes , 2006, IEEE Transactions on Instrumentation and Measurement.

[619]  Sani R. Nassif,et al.  Multigrid-like technique for power grid analysis , 2001, ICCAD 2001.

[620]  William H. Kautz,et al.  The Necessity of Closed Circuit Loops in Minimal Combinational Circuits , 1970, IEEE Transactions on Computers.

[621]  Yervant Zorian,et al.  Principles of testing electronic systems , 2000 .

[622]  James Lin,et al.  Fixing antenna problem by dynamic diode dropping and jumper insertion , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[623]  Randal E. Bryant,et al.  Efficient implementation of a BDD package , 1991, DAC '90.