Fast System Level Benchmarks for Multicore Architectures

We present a framework that automatically generates system level synthetic benchmarks from traditional benchmarks. Synthetic benchmarks have similar performance behavior as the original benchmarks that they are generated from and they can run faster. Synthetics can also be used as proxies where original applications are not available in source form. In experiments we observe that not only are our system level benchmarks much smaller than the real benchmarks that they are generated from but they are also much faster. For example, when we generate synthetic benchmarks from the well-known multicore benchmark suite, PARSEC, our benchmarks have an average speedup of 149x over PARSEC benchmarks. We also observe that the performance behavior of synthetics have more than 85% similarity to the real benchmarks.

[1]  Yang Qu,et al.  Combining UML2 Application and SystemC Platform Modelling for Performance Evaluation of Real-Time Embedded Systems , 2008, EURASIP J. Embed. Syst..

[2]  Andreas Herkersdorf,et al.  TAPES—Trace-based architecture performance evaluation with SystemC , 2005, Des. Autom. Embed. Syst..

[3]  Christian Haubelt,et al.  ESL power and performance estimation for heterogeneous MPSOCS using SystemC , 2011, FDL 2011 Proceedings.

[4]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[5]  Andy D. Pimentel,et al.  Towards Multi-application Workload Modeling in Sesame for System-Level Design Space Exploration , 2007, SAMOS.

[6]  Timothy G. Mattson,et al.  Patterns for parallel programming , 2004 .

[7]  Miltos D. Grammatikakis,et al.  System-level power estimation methodology using cycle- and bit-accurate TLM , 2011, 2011 Design, Automation & Test in Europe.

[8]  Lizy Kurian John,et al.  Automatic Generation of Miniaturized Synthetic Proxies for Target Applications to Efficiently Design Multicore Processors , 2014, IEEE Transactions on Computers.

[9]  David J. Greaves,et al.  TLM POWER3: Power estimation methodology for SystemC TLM 2.0 , 2012, Proceeding of the 2012 Forum on Specification and Design Languages.

[10]  Lieven Eeckhout,et al.  Distilling the essence of proprietary workloads into miniature benchmarks , 2008, TACO.

[11]  Lieven Eeckhout,et al.  Microarchitecture-Independent Workload Characterization , 2007, IEEE Micro.

[12]  Geert Vanmeerbeeck,et al.  Automatic workload generation for system-level exploration based on modified GCC compiler , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[13]  Abir Awad Abir Awad , 2022 .

[14]  Alper Sen,et al.  Using software architectural patterns for synthetic embedded multicore benchmark development , 2012, 2012 IEEE International Symposium on Workload Characterization (IISWC).