Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes
暂无分享,去创建一个
[1] Jih-Sheng Shen,et al. Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[2] Nectarios Koziris,et al. An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures , 2000, Proceedings 8th Euromicro Workshop on Parallel and Distributed Processing.
[3] Pasi Liljeberg,et al. Implementation of a self-timed segmented bus , 2003, IEEE Design & Test of Computers.
[4] Shuvra S. Bhattacharyya,et al. Joint application mapping/interconnect synthesis techniques for embedded chip-scale multiprocessors , 2005, IEEE Transactions on Parallel and Distributed Systems.
[5] T. F. Chen,et al. Segmented bus design for low-power systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[6] Giovanni De Micheli,et al. Design, synthesis, and test of networks on chips , 2005, IEEE Design & Test of Computers.
[7] M.J. Flynn,et al. Microprocessor design issues: thoughts on the road ahead , 2005, IEEE Micro.
[8] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[9] Li-Shiuan Peh,et al. Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.
[10] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[11] Massoud Pedram,et al. Architectural energy optimization by bus splitting , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[12] Hoi-Jun Yoo,et al. Packet-switched on-chip interconnection network for system-on-chip applications , 2005, IEEE Transactions on Circuits and Systems II: Express Briefs.
[13] Radu Marculescu,et al. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.
[14] David Blaauw,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.
[15] Jih-Sheng Shen,et al. A low-power crossroad switch architecture and its core placement for network-on-chip , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[16] Hoi-Jun Yoo,et al. Low-power network-on-chip for high-performance SoC design , 2006, IEEE Trans. Very Large Scale Integr. Syst..
[17] Srinivasan Murali,et al. SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..
[18] Hoi-Jun Yoo,et al. An arbitration look-ahead scheme for reducing end-to-end latency in networks on chip , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[19] Li-Shiuan Peh,et al. Design-space exploration of power-aware on/off interconnection networks , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[20] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[21] Peter H. N. de With,et al. Chip-set for video display of multimedia information , 1999, 1999 Digest of Technical Papers. International Conference on Consumer Electronics (Cat. No.99CH36277).
[22] Kaushik Roy,et al. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.
[23] Hussein G. Badr,et al. An Optimal Shortest-Path Routing Policy for Network Computers with Regular Mesh-Connected Topologies , 1989, IEEE Trans. Computers.
[24] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[25] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[26] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[27] Timothy Mark Pinkston,et al. A methodology for designing efficient on-chip interconnects on well-behaved communication patterns , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[28] Hai Zhou,et al. Parallel CAD: Algorithm Design and Programming Special Section Call for Papers TODAES: ACM Transactions on Design Automation of Electronic Systems , 2010 .
[29] Michael J. Flynn. Area - Time - Power and Design effort: the basic tradeoffs in Application Specific Systems , 2005, ASAP.
[30] William J. Dally,et al. Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.
[31] H.-J. Yoo,et al. A high-speed and lightweight on-chip crossbar switch scheduler for on-chip interconnection networks , 2003, ESSCIRC 2004 - 29th European Solid-State Circuits Conference (IEEE Cat. No.03EX705).
[32] Hoi-Jun Yoo,et al. Analysis and implementation of practical, cost-effective networks on chips , 2005, IEEE Design & Test of Computers.
[33] Sanjay V. Rajopadhye,et al. OREGAMI: Tools for mapping parallel computations to parallel architectures , 1991, International Journal of Parallel Programming.
[34] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, MICRO.
[35] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[36] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[37] Hoi-Jun Yoo,et al. An 800MHz star-connected on-chip network for application to systems on a chip , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[38] Sudhakar Yalamanchili,et al. Pipelined circuit-switching: a fault-tolerant variant of wormhole routing , 1992, [1992] Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing.
[39] Radu Marculescu,et al. Traffic analysis for on-chip networks design of multimedia applications , 2002, DAC '02.
[40] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[41] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[42] Hsueh-I Lu,et al. Design theory and implementation for low-power segmented bus systems , 2003, TODE.
[43] Kiyoo Itoh,et al. Sub-1-V swing internal bus architecture for future low-power ULSIs , 1993 .
[44] Erik B. van der Tol,et al. Mapping of MPEG-4 decoding on a flexible architecture platform , 2001, IS&T/SPIE Electronic Imaging.
[45] T. C. Hu,et al. Multi-Terminal Network Flows , 1961 .
[46] Jih-Sheng Shen,et al. On a design of crossroad switches for low-power on-chip communication architectures , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[47] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[48] Mani B. Srivastava,et al. A survey of techniques for energy efficient on-chip communication , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).