Fast and energy-frugal deterministic test through efficient compression and compaction techniques

Conversion of the flip-flops of the circuit into scan cells helps ease the test challenge; yet test application time is increased as serial shift operations are employed. Furthermore, the transitions that occur in the scan chains during these shifts reflect into significant levels of circuit switching unnecessarily, increasing the power dissipated. Judicious encoding of the correlation among the test vectors and construction of a test vector through predecessor updates helps reduce not only test application time but also scan chain transitions as well. Such an encoding scheme, which additionally reduces test data volume, can be further enhanced through appropriately ordering and padding of the test cubes given. The experimental results confirm the significant reductions in test application time, test data volume and test power achieved by the proposed compression methodology.

[1]  Chauchin Su,et al.  A serial scan test vector compression methodology , 1993, Proceedings of IEEE International Test Conference - (ITC).

[2]  Nur A. Touba,et al.  Virtual scan chains: a means for reducing scan length in cores , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[3]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[4]  S. Pravossoudovitch,et al.  A gated clock scheme for low power scan testing of logic ICs or embedded cores , 2001, Proceedings 10th Asian Test Symposium.

[5]  F. Brglez,et al.  A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN , 1985 .

[6]  Ozgur Sinanoglu,et al.  Scan power reduction through test data transition frequency analysis , 2002, Proceedings. International Test Conference.

[7]  Krishnendu Chakrabarty,et al.  System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[9]  Lee Whetsel,et al.  Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[10]  Patrick Girard,et al.  A modified clock scheme for a low power BIST test pattern generator , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[11]  Nur A. Touba,et al.  Test vector decompression via cyclical scan chains and its application to testing core-based designs , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[12]  Alex Orailoglu,et al.  Test volume and application time reduction through scan chain concealment , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[13]  Ozgur Sinanoglu,et al.  Reducing Average and Peak Test Power Through Scan Chain Modification , 2003, J. Electron. Test..

[14]  Irith Pomeranz,et al.  Test transformation to improve compaction by statistical encoding , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[15]  Judea Pearl,et al.  Heuristics : intelligent search strategies for computer problem solving , 1984 .

[16]  Judea Pearl Intelligent Search Strategies for Computer Problem Solving , 1984 .

[17]  Nur A. Touba,et al.  Test vector compression via statistical coding and dynamic compaction , 2000, 2000 IEEE Autotestcon Proceedings. IEEE Systems Readiness Technology Conference. Future Sustainment for Military Aerospace (Cat. No.00CH37057).

[18]  Irith Pomeranz,et al.  Techniques for minimizing power dissipation in scan and combinational circuits during test application , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  Sarita Thakar,et al.  On the generation of test patterns for combinational circuits , 1993 .

[20]  Ozgur Sinanoglu,et al.  Test power reduction through minimization of scan chain transitions , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[21]  Janak H. Patel,et al.  Design of Test Pattern Generators for Built-In Test , 1984, ITC.