Improving Performance under Process and Voltage Variations in Near-Threshold Computing Using 3D ICs
暂无分享,去创建一个
[1] Siddharth Garg,et al. Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
[2] W. Dehaene,et al. Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.
[3] David Blaauw,et al. Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.
[4] Olivier Billoint,et al. Ultra-Wide Voltage Range designs in Fully-Depleted Silicon-On-Insulator FETs , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[5] Sung Kyu Lim,et al. Ultralow Power Circuit Design With Subthreshold/Near-Threshold 3-D IC Technologies , 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[6] Robert H. Dennard,et al. Practical Strategies for Power-Efficient Computing Technologies , 2010, Proceedings of the IEEE.
[7] David Blaauw,et al. Centip3De: A Cluster-Based NTC Architecture With 64 ARM Cortex-M3 Cores in 3D Stacked 130 nm CMOS , 2013, IEEE Journal of Solid-State Circuits.
[8] Mario Konijnenburg,et al. Reliable and energy-efficient 1MHz 0.4V dynamically reconfigurable SoC for ExG applications in 40nm LP CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[9] Jae-Seok Yang,et al. Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[10] Kaya Can Akyel,et al. Scalable 0.35V to 1.2V SRAM bitcell design from 65nm CMOS to 28nm FDSOI , 2013, 2013 Proceedings of the ESSCIRC (ESSCIRC).
[11] Sung Kyu Lim,et al. Fine-Grained 3-D IC Partitioning Study With a Multicore Processor , 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[12] David Blaauw,et al. An Energy Efficient Parallel Architecture Using Near Threshold Operation , 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).
[13] Sung Kyu Lim,et al. Improving performance in near-threshold circuits using 3D IC technology , 2015, 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
[14] Stefania Perri,et al. Exploring well configurations for voltage level converter design in 28 nm UTBB FDSOI technology , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).
[15] Jia Di,et al. Asynchronous and synchronous designs for low-power FDSOI CMOS process optimized for subthreshold operation at 0.3V VDD , 2015, 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
[16] David Blaauw,et al. Ultralow-voltage, minimum-energy CMOS , 2006, IBM J. Res. Dev..
[17] Naveen Verma,et al. Technologies for Ultradynamic Voltage Scaling , 2010, Proceedings of the IEEE.
[18] Siddharth Garg,et al. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.
[19] Massimo Alioto,et al. Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] David Blaauw,et al. Energy efficient near-threshold chip multi-processing , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[21] Mark Anders,et al. Near-threshold voltage (NTV) design — Opportunities and challenges , 2012, DAC Design Automation Conference 2012.
[22] Yu Wang,et al. TSV-aware topology generation for 3D Clock Tree Synthesis , 2013, International Symposium on Quality Electronic Design (ISQED).