Configurable analog signal processing

We present a viewpoint showing that analog signal processing approaches are becoming configurable and programmable like their digital counterparts, while retaining a huge computational efficiency, for a given power budget, compared to their digital counterparts. We present recent results in programmable and configurable analog signal processing describing the widespread potential of these approaches. We discuss issues with configurable systems, including size, power, and computational tradeoffs, as well as address the computational efficiency of these approaches. Analog circuits and systems research and education can significantly benefit from the computational flexibility provided by large-scale FPAAs. The component density of these devices is sufficient to synthesize large systems in a short period of time. However, this level of reconfigurable and programmable complexity requires a development platform and CAD tools to demonstrate the capabilities of large-scale FPAAs before they will be widely accepted. To address this need, a self-contained FPAA setup has been developed along with an integrated software design flow. With only an Ethernet connection and an AC power outlet, a researcher or student can explore the numerous analog circuit possibilities provided by large-scale FPAAs.

[1]  Christopher M. Twigg,et al.  A Large-Scale Reconfigurable Analog Signal Processor (RASP) IC , 2006, IEEE Custom Integrated Circuits Conference 2006.

[2]  E.K.F. Lee,et al.  A CMOS field-programmable analog array , 1991 .

[3]  Tyson S. Hall,et al.  Automatic rapid programming of large arrays of floating-gate elements , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[4]  Christopher M. Twigg,et al.  A Compact Programmable CMOS Reference With ±40μV Accuracy , 2006, IEEE Custom Integrated Circuits Conference 2006.

[5]  Carver A. Mead,et al.  Neuromorphic electronic systems , 1990, Proc. IEEE.

[6]  Paul E. Hasler,et al.  A Low-Power Programmable Bandpass Filter Section for Higher Order Filter Applications , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

[7]  Paul Hasler,et al.  A programmable continuous-time floating-gate Fourier processor , 2001 .

[8]  Ramón González Carvajal,et al.  Low-Voltage Universal Cell (LVUC): A Compact Analog/Digital Logic Block for Mixed Signal FPGAs , 2006, IEEE Custom Integrated Circuits Conference 2006.

[9]  Olgierd A. Palusinski,et al.  A field programmable analog array and its application , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[10]  David V. Anderson,et al.  Placement for large-scale floating-gate field-programable analog arrays , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Paul E. Hasler,et al.  A bio-physically inspired silicon neuron , 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.

[12]  B. A. Minch Multiple-input translinear element log-domain filters , 2001 .

[13]  Gene Frantz,et al.  ADVANCEMENTS IN DIGITAL SIGNAL PROCESSING TECHNOLOGY ARE ENABLING ITS USE FOR INCREASINGLY WIDESPREAD APPLICATIONS . DEVELOPERS WILL BE CHALLENGED TO USE THIS PROCESSING POWER TO ITS UTMOST , WHILE CREATING NEW APPLICATIONS AND IMPROVING EXISTING ONES , 2022 .

[14]  Massimo A. Sivilotti,et al.  Wiring considerations in analog VLSI systems, with application to field-programmable networks , 1992 .

[15]  David V. Anderson,et al.  Field-Programmable Analog Arrays: A Floating-Gate Approach , 2002, FPL.

[16]  B. A. Minch,et al.  Translinear circuits using subthreshold floating-gate MOS transistors , 1996 .

[17]  E.K.F. Lee,et al.  A transconductor-based field-programmable analog array , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.

[18]  D.V. Anderson,et al.  A neuromorphic IC connection between cortical dendritic processing and HMM classification , 2004, 3rd IEEE Signal Processing Education Workshop. 2004 IEEE 11th Digital Signal Processing Workshop, 2004..

[19]  Paul E. Hasler,et al.  A precision CMOS amplifier using floating-gates for offset cancellation , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[20]  Paul Hasler,et al.  Multiple-input translinear element networks , 2001 .

[21]  E. Sanchez-Sinencio,et al.  A current-mode based field programmable analog array architecture for signal processing applications , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[22]  David V. Anderson,et al.  Large-scale field-programmable analog arrays for analog signal processing , 2005, IEEE Transactions on Circuits and Systems I: Regular Papers.

[23]  Joachim Becker,et al.  A continuous-time field programmable analog array (FPAA) consisting of digitally reconfigurable G/sub M/-cells , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[24]  G.E.R. Cowan,et al.  A VLSI analog computer/digital computer accelerator , 2006, IEEE Journal of Solid-State Circuits.

[25]  Arindam Basu,et al.  A Charge-Based Low-Power High-SNR Capacitive Sensing Interface Circuit , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[26]  B.R. Hayes-Gill,et al.  Multi-function block for a switched current field programmable analogue array , 1996, Proceedings of the 39th Midwest Symposium on Circuits and Systems.

[27]  Paul E. Hasler,et al.  An analog floating-gate node for Supervised learning , 2005, IEEE Transactions on Circuits and Systems I: Regular Papers.

[28]  Paul E. Hasler,et al.  A field-programmable analog array using translinear elements , 2005, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05).