Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP)

In this paper, an adaptive wormhole router for a flexible on-chip interconnection network is proposed and implemented for a Chip-mutti processor (CMP). It adopts a wormhole switching technique and its routing algorithm is livelock-/deadlock- free in 2D-mesh topology. Major contribution of this research is the design of an adaptive router architecture adopting a minimal adaptive routing algorithm with near optimal performance and feasible design complexity, satisfying the general SoC design requirements. We also investigate the optimal size of FIFO in an adaptive router with fixed priority scheme.

[1]  Ge-Ming Chiu,et al.  The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..

[2]  Seung Eun Lee,et al.  Increasing the throughput of an adaptive router in network-on-chip (NoC) , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[3]  Radu Marculescu,et al.  DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  Nozar Tabrizi,et al.  MaRS: a macro-pipelined reconfigurable system , 2004, CF '04.

[5]  Xiaola Lin,et al.  The Message Flow Model for Routing in Wormhole-Routed Networks , 1993, 1993 International Conference on Parallel Processing - ICPP'93.

[6]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[7]  Hoi-Jun Yoo,et al.  Analysis and implementation of practical, cost-effective networks on chips , 2005, IEEE Design & Test of Computers.

[8]  Seung Eun Lee,et al.  On Design and Analysis of a Feasible Network-on-Chip (NoC) Architecture , 2007, Fourth International Conference on Information Technology (ITNG'07).

[9]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[10]  Theodore R. Bashkow,et al.  A large scale, homogeneous, fully distributed parallel machine, I , 1977, ISCA '77.

[11]  Akif Ali,et al.  Near-optimal worst-case throughput routing for two-dimensional mesh networks , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[12]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[13]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[14]  José Duato,et al.  Adaptive bubble router: a design to improve performance in torus networks , 1999, Proceedings of the 1999 International Conference on Parallel Processing.

[15]  Lionel M. Ni,et al.  The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[16]  S. Lennart Johnsson,et al.  ROMM routing on mesh and torus networks , 1995, SPAA '95.

[17]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[18]  José Duato,et al.  A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..