Advanced Computer Architecture: 13th Conference, ACA 2020, Kunming, China, August 13–15, 2020, Proceedings

After the Stunex event in 2010, the security problems of SCADA reveal to the public, which abstract more and more researchers to design new security firms to address the security problems of SCADA. Especially, after the software defined network (SDN) arose, it has become a beneficial attempt to improve the SCADA security. In this paper, a formalized vulnerability detection platform named SDNVD-SCADA is presented based on the SDN technology, which can be used to find the most familiar vulnerabilities in SCADA design, implementation, deployment and action processes. A general security mechanism description language and a SCADA vulnerability pattern database are embedded in SDNVD-SCADA to achieve the ambition of automatic vulnerability detection.

[1]  Brian W. Kernighan,et al.  An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..

[2]  H. T. Kung,et al.  Systolic Arrays for (VLSI). , 1978 .

[3]  Peter J. Denning,et al.  The working set model for program behavior , 1968, CACM.

[4]  David L. Black,et al.  Machine-independent virtual memory management for paged uniprocessor and multiprocessor architectures , 1987, IEEE Trans. Computers.

[5]  Kai Li,et al.  A Hypercube Shared Virtual Memory System , 1989, ICPP.

[6]  William Pugh,et al.  Skip Lists: A Probabilistic Alternative to Balanced Trees , 1989, WADS.

[7]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[8]  Mark Horowitz,et al.  Modeling the performance of limited pointers directories for cache coherence , 1991, ISCA '91.

[9]  Eugene H. Spafford,et al.  An execution-backtracking approach to debugging , 1991, IEEE Software.

[10]  Ricardo Bianchini,et al.  Using communication-to-computation ratio in parallel program design and performance prediction , 1992, [1992] Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing.

[11]  Hong Xu,et al.  ComPaSS: efficient communication services for scalable architectures , 1992, Proceedings Supercomputing '92.

[12]  Hong Xu,et al.  Efficient implementation of barrier synchronization in wormhole-routed hypercube multicomputers , 1992, [1992] Proceedings of the 12th International Conference on Distributed Computing Systems.

[13]  Richard A. Huff,et al.  Lifetime-sensitive modulo scheduling , 1993, PLDI '93.

[14]  William E. Weihl Transaction-processing techniques , 1993 .

[15]  Maurice Herlihy,et al.  A methodology for implementing highly concurrent data objects , 1993, TOPL.

[16]  Eugene H. Spafford,et al.  Debugging with dynamic slicing and backtracking , 1993, Softw. Pract. Exp..

[17]  Alexandre E. Eichenberger,et al.  Stage scheduling: a technique to reduce the register requirements of a module schedule , 1995, MICRO 1995.

[18]  Yi-Min Wang,et al.  Checkpointing and its applications , 1995, Twenty-Fifth International Symposium on Fault-Tolerant Computing. Digest of Papers.

[19]  Zia-ur Rahman,et al.  Multi-scale retinex for color image enhancement , 1996, Proceedings of 3rd IEEE International Conference on Image Processing.

[20]  Carl Staelin,et al.  lmbench: Portable Tools for Performance Analysis , 1996, USENIX Annual Technical Conference.

[21]  Hua Zhong,et al.  CRAK: Linux Checkpoint/Restart As a Kernel Module , 1996 .

[22]  Wolfgang Maass,et al.  Networks of Spiking Neurons: The Third Generation of Neural Network Models , 1996, Electron. Colloquium Comput. Complex..

[23]  Douglas M. Blough,et al.  Fault-tolerant clock synchronization of large multicomputers via multistep interactive convergence , 1996, Proceedings of 16th International Conference on Distributed Computing Systems.

[24]  Yi-Min Wang,et al.  Integrating checkpointing with transaction processing , 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.

[25]  Zia-ur Rahman,et al.  A multiscale retinex for bridging the gap between color images and the human observation of scenes , 1997, IEEE Trans. Image Process..

[26]  S. Yajnik,et al.  Checkpointing in CosMiC: a user-level process migration environment , 1997, Proceedings Pacific Rim International Symposium on Fault-Tolerant Systems.

[27]  William H. Mangione-Smith,et al.  The filter cache: an energy efficient memory structure , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[28]  Zia-ur Rahman,et al.  Properties and performance of a center/surround retinex , 1997, IEEE Trans. Image Process..

[29]  George Karypis,et al.  Multilevel k-way Partitioning Scheme for Irregular Graphs , 1998, J. Parallel Distributed Comput..

[30]  Yoshua Bengio,et al.  Gradient-based learning applied to document recognition , 1998, Proc. IEEE.

[31]  Stephen R. Gardner Building the data warehouse , 1998, CACM.

[32]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[33]  Dan Pei,et al.  Modification Operation Buffering : A Low-Overhead Approach to Checkpoint User Files , 1999 .

[34]  Chao-Ho Chen,et al.  A cost-effective 8×8 2-D IDCT core processor with folded architecture , 1999, IEEE Trans. Consumer Electron..

[35]  Li Fan,et al.  Summary cache: a scalable wide-area web cache sharing protocol , 2000, TNET.

[36]  Dan Pei,et al.  Design and implementation of a low-overhead file checkpointing approach , 2000, Proceedings Fourth International Conference/Exhibition on High Performance Computing in the Asia-Pacific Region.

[37]  William J. Dally,et al.  Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[38]  Avi Ziv,et al.  Cost evaluation of coverage directed test generation for the IBM mainframe , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[39]  Reducing set-associative cache energy via way-prediction and selective direct-mapping , 2001, MICRO.

[40]  Jongshin Shin,et al.  A genetic approach to automatic bias generation for biased random instruction generation , 2001, Proceedings of the 2001 Congress on Evolutionary Computation (IEEE Cat. No.01TH8546).

[41]  José Duato,et al.  A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources , 2001, IEEE Trans. Parallel Distributed Syst..

[42]  Jihong Kim,et al.  Power-aware modulo scheduling for high-performance VLIW processors , 2001, ISLPED '01.

[43]  Guang R. Gao,et al.  Exploiting Schedule Slacks for Rate-Optimal Power-Minimum Software Pipelining , 2002 .

[44]  Santosh Pande,et al.  Optimizing Static Power Dissipation by Functional Units in Superscalar Processors , 2002, CC.

[45]  Robert Hood,et al.  Backtracking and re-execution in the automatic debugging of parallelized programs , 2002, Proceedings 11th IEEE International Symposium on High Performance Distributed Computing.

[46]  Sujit Dey,et al.  An Interconnect Architecture for Networking Systems on Chips , 2002, IEEE Micro.

[47]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[48]  Louis Anthony Cox Data Mining and Causal Modeling of Customer Behaviors , 2002, Telecommun. Syst..

[49]  Jason Nieh,et al.  Proceedings of the 5th Symposium on Operating Systems Design and Implementation , 2022 .

[50]  Eric Roman A Survey of Checkpoint / Restart Implementations , 2002 .

[51]  R. Mooney,et al.  An accurate and efficient analysis method for multi-Gb/s chip-to-chip signaling schemes , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[52]  Managing static leakage energy in microprocessor functional units , 2002, MICRO 35.

[53]  Jenq Kuen Lee,et al.  Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors , 2002, LCPC.

[54]  Liu Shao-feng A Files Checkpointing Approach Based on Virtual File Operations , 2002 .

[55]  Henry Markram,et al.  The "Liquid Computer": A Novel Strategy for Real-Time Computing on Time Series , 2002 .

[56]  Jason Duell,et al.  Requirements for Linux Checkpoint/Restart , 2002 .

[57]  Henry Markram,et al.  Real-Time Computing Without Stable States: A New Framework for Neural Computation Based on Perturbations , 2002, Neural Computation.

[58]  Eugene M. Izhikevich,et al.  Simple model of spiking neurons , 2003, IEEE Trans. Neural Networks.

[59]  Avi Ziv,et al.  Coverage directed test generation for functional verification using Bayesian networks , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[60]  Glenn Reinman,et al.  Just say no: benefits of early cache miss determination , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[61]  Wolfgang Zirwas,et al.  Per-prediction for PHY mode selection in OFDM communication systems , 2003, GLOBECOM '03. IEEE Global Telecommunications Conference (IEEE Cat. No.03CH37489).

[62]  Wei Zhang,et al.  Compiler support for reducing leakage energy consumption , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[63]  K.L. Baum,et al.  Link error prediction methods for multicarrier systems , 2004, IEEE 60th Vehicular Technology Conference, 2004. VTC2004-Fall. 2004.

[64]  Avi Ziv,et al.  Enhancing the efficiency of Bayesian network based coverage directed test generation , 2004, Proceedings. Ninth IEEE International High-Level Design Validation and Test Workshop (IEEE Cat. No.04EX940).

[65]  Piyush Maheshwari,et al.  Supporting Cost-Effective Fault Tolerance in Distributed Message-Passing Applications with File Operations , 1999, The Journal of Supercomputing.

[66]  Eric Ruppert,et al.  Lock-free linked lists and skip lists , 2004, PODC '04.

[67]  Volker Strumpen,et al.  Fault-Tolerant File-I/O for Portable Checkpointing Systems , 2000, The Journal of Supercomputing.

[68]  Ashwin Raju Jeyakumar Metamori: A library for Incremental File Checkpointing , 2004 .

[69]  Eero P. Simoncelli,et al.  Image quality assessment: from error visibility to structural similarity , 2004, IEEE Transactions on Image Processing.

[70]  Alexander V. Veidenbaum,et al.  Power-Aware Compilation for Register File Energy Reduction , 2004, International Journal of Parallel Programming.

[71]  Fabien Clermidy,et al.  An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[72]  S. Asano,et al.  The design and implementation of a first-generation CELL processor - a multi-core SoC , 2005, 2005 International Conference on Integrated Circuit Design and Technology, 2005. ICICDT 2005..

[73]  William J. Dally,et al.  Microarchitecture of a high radix router , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[74]  A. Mericas,et al.  Workload characterization for the design of future servers , 2005, IEEE International. 2005 Proceedings of the IEEE Workload Characterization Symposium, 2005..

[75]  J. Duell The design and implementation of Berkeley Lab's linux checkpoint/restart , 2005 .

[76]  Huan Liu,et al.  Bias analysis in text classification for highly skewed data , 2005, Fifth IEEE International Conference on Data Mining (ICDM'05).

[77]  Jason Duell,et al.  The Lam/Mpi Checkpoint/Restart Framework: System-Initiated Checkpointing , 2005, Int. J. High Perform. Comput. Appl..

[78]  Cheng-Xiang Wang,et al.  Packet-level error models for digital wireless channels , 2005, IEEE International Conference on Communications, 2005. ICC 2005. 2005.

[79]  Margaret Martonosi,et al.  A dynamic compilation framework for controlling microprocessor energy and performance , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

[80]  Huiyang Zhou,et al.  Enhancing memory-level parallelism via recovery-free value prediction , 2005, IEEE Transactions on Computers.

[81]  Carla E. Brodley,et al.  Heat stroke: power-density-based denial of service in SMT , 2005, 11th International Symposium on High-Performance Computer Architecture.

[82]  Kwang-Ting Cheng,et al.  Bit-Error-Rate Estimation for High-Speed Serial Links , 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

[83]  Jason Duell,et al.  Berkeley Lab Checkpoint/Restart (BLCR) for Linux Clusters , 2006 .

[84]  Jenq Kuen Lee,et al.  Compilers for leakage power reduction , 2006, TODE.

[85]  Margaret Martonosi,et al.  Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[86]  William J. Dally,et al.  Adaptive Routing in High-Radix Clos Network , 2006, ACM/IEEE SC 2006 Conference (SC'06).

[87]  Aviral Shrivastava,et al.  Bypass aware instruction scheduling for register file power reduction , 2006, LCTES '06.

[88]  Yishay Mansour,et al.  Harnessing Machine Learning to Improve the Success Rate of Stimuli Generation , 2005, IEEE Transactions on Computers.

[89]  Erich Strohmaier,et al.  A genetic algorithms approach to modeling the performance of memory-bound computations , 2007, Proceedings of the 2007 ACM/IEEE Conference on Supercomputing (SC '07).

[90]  Wang Guang-xue Development and application of TRIP2.0_SOLVER , 2007 .

[91]  Riccardo Poli,et al.  Particle swarm optimization , 1995, Swarm Intelligence.

[92]  Jaideep Srivastava,et al.  A probabilistic approach to modeling and estimating the QoS of web-services-based workflows , 2007, Inf. Sci..

[93]  Marc-Oliver Gewaltig,et al.  NEST (NEural Simulation Tool) , 2007, Scholarpedia.

[94]  Todd M. Austin,et al.  Microprocessor Verification via Feedback-Adjusted Markov Models , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[95]  Chenjie Yu,et al.  Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[96]  Ge Zhang,et al.  Reducing cache energy consumption by tag encoding in embedded processors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[97]  Jenq Kuen Lee,et al.  Compilation for compact power-gating controls , 2007, TODE.

[98]  Kajal De,et al.  A Study of the Traveling Salesman Problem Using Fuzzy Self Organizing Map , 2008, 2008 IEEE Region 10 and the Third international Conference on Industrial and Information Systems.

[99]  Simei Gomes Wysoski,et al.  Fast and adaptive network of spiking neurons for multi-view visual pattern recognition , 2008, Neurocomputing.

[100]  Jooheung Lee,et al.  Scalable FPGA Architecture for DCT Computation Using Dynamic Partial Reconfiguration , 2008, ERSA.

[101]  Li Zhi-ping Research of Component-based Integrated Modeling and Simulation Environment , 2008 .

[102]  Zhenya Zhang,et al.  Clustering aggregation based on genetic algorithm for documents clustering , 2008, 2008 IEEE Congress on Evolutionary Computation (IEEE World Congress on Computational Intelligence).

[103]  Mark D. Hill,et al.  Amdahl's Law in the Multicore Era , 2008, Computer.

[104]  Ümit Y. Ogras,et al.  On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2007, TODE.

[105]  Wenguang Chen,et al.  CprFS: a user-level file system to support consistent file states for checkpoint and restart , 2008, ICS '08.

[106]  Natalie D. Enright Jerger,et al.  Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.

[107]  José Duato,et al.  Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[108]  JiHong Ren,et al.  Multiple Edge Responses for Fast and Accurate System Simulations , 2008, IEEE Transactions on Advanced Packaging.

[109]  Lise Getoor,et al.  Collective Classification in Network Data , 2008, AI Mag..

[110]  Michael F. P. O'Boyle,et al.  Instruction Cache Energy Saving Through Compiler Way-Placement , 2008, 2008 Design, Automation and Test in Europe.

[111]  Dmitry V. Ponomarev,et al.  Two-Level Reorder Buffers: Accelerating Memory-Bound Applications on SMT Architectures , 2008, 2008 37th International Conference on Parallel Processing.

[112]  Radu Marculescu,et al.  Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[113]  Samuel Williams,et al.  Roofline: an insightful visual performance model for multicore architectures , 2009, CACM.

[114]  Petr Tuma,et al.  Investigating Cache Parameters of x86 Family Processors , 2009, SPEC Benchmark Workshop.

[115]  Patrick E. O'Neil,et al.  The log-structured merge-tree (LSM-tree) , 1996, Acta Informatica.

[116]  Hyungjun Kim,et al.  Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[117]  Steve B. Furber,et al.  Understanding the interconnection network of SpiNNaker , 2009, ICS.

[118]  Bryan Casper,et al.  Clocking Analysis, Implementation and Measurement Techniques for High-Speed Data Links—A Tutorial , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[119]  Shen Haihua,et al.  A Coverage Directed Test Generation Platform for Microprocessors Using Genetic Approach , 2009 .

[120]  S. Huang,et al.  Energy-Efficient Cluster Computing via Accurate Workload Characterization , 2009, 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid.

[121]  Hsien-Hsin S. Lee,et al.  Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches , 2009, ISLPED.

[122]  Mohammad Reza Meybodi,et al.  A learning automata-based algorithm for determination of the number of hidden units for three-layer neural networks , 2009, Int. J. Syst. Sci..

[123]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[124]  Lu Lin-sheng Automatic Partition Algorithm Based on Multi-region and Multi-code Problem , 2010 .

[125]  Aart J. C. Bik,et al.  Pregel: a system for large-scale graph processing , 2010, SIGMOD Conference.

[126]  Alberto Ros,et al.  A scalable organization for distributed directories , 2010, J. Syst. Archit..

[127]  Prashant Malik,et al.  Cassandra: a decentralized structured storage system , 2010, OPSR.

[128]  Zhao Jing,et al.  Notice of Retraction Forecasting the natural forest stand age based on artificial neural network model , 2010 .

[129]  Adam Silberstein,et al.  Benchmarking cloud serving systems with YCSB , 2010, SoCC '10.

[130]  Cosmin Ancuti,et al.  Effective single image dehazing by fusion , 2010, 2010 IEEE International Conference on Image Processing.

[131]  Yan-Ping Zhang,et al.  Cluster-based majority under-sampling approaches for class imbalance learning , 2010, 2010 2nd IEEE International Conference on Information and Financial Engineering.

[132]  Jerry D. Gibson,et al.  Low-complexity video encoding for UAV reconnaissance and surveillance , 2011, 2011 - MILCOM 2011 Military Communications Conference.

[133]  Michael M. Swift,et al.  Mnemosyne: lightweight persistent memory , 2011, ASPLOS XVI.

[134]  David A. Wood,et al.  A Primer on Memory Consistency and Cache Coherence , 2012, Synthesis Lectures on Computer Architecture.

[135]  Qingmin Liao,et al.  Fast single image fog removal using edge-preserving smoothing , 2011, 2011 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

[136]  Roy H. Campbell,et al.  Consistent and Durable Data Structures for Non-Volatile Byte-Addressable Memory , 2011, FAST.

[137]  Lars George,et al.  HBase - The Definitive Guide: Random Access to Your Planet-Size Data , 2011 .

[138]  David H. Bailey,et al.  The Nas Parallel Benchmarks , 1991, Int. J. High Perform. Comput. Appl..

[139]  Stéphane Glockner,et al.  A 2D block-structured mesh partitioner for accurate flow simulations on non-rectangular geometries , 2011 .

[140]  Wang Shang Web Service Dynamic Selection by the Decomposition of Global QoS Constraints , 2011 .

[141]  Wann-Yun Shieh,et al.  Power-aware register assignment for large register file design , 2011, The Journal of Supercomputing.

[142]  Ko Nishino,et al.  Bayesian Defogging , 2012, International Journal of Computer Vision.

[143]  Hannu Tenhunen,et al.  HARAQ: Congestion-Aware Learning Model for Highly Adaptive Routing Algorithm in On-Chip Networks , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[144]  Andrew B. Kahng,et al.  ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[145]  Steve B. Furber,et al.  A hierachical configuration system for a massively parallel neural hardware platform , 2012, CF '12.

[146]  Zhen Fang,et al.  Reducing L1 caches power by exploiting software semantics , 2012, ISLPED '12.

[147]  Chunxia Xiao,et al.  Fast image dehazing using guided joint bilateral filter , 2012, The Visual Computer.

[148]  Kaishun Wu,et al.  Chip Error Pattern Analysis in IEEE 802.15.4 , 2012, IEEE Trans. Mob. Comput..

[149]  Léon Bottou,et al.  Stochastic Gradient Descent Tricks , 2012, Neural Networks: Tricks of the Trade.

[150]  Natalie D. Enright Jerger,et al.  Supporting efficient collective communication in NoCs , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[151]  Johannes Schemmel,et al.  Live demonstration: A scaled-down version of the BrainScaleS wafer-scale neuromorphic system , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[152]  Kathy Yelick Compiling to avoid communication , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[153]  Wang Shangguang,et al.  Uncertain QoS-Aware Skyline Service Selection Based on Cloud Model , 2012 .

[154]  David Flynn,et al.  HEVC Complexity and Implementation Analysis , 2012, IEEE Transactions on Circuits and Systems for Video Technology.

[155]  Jing Zhao,et al.  A decomposition-based approach for service composition with global QoS guarantees , 2012, Inf. Sci..

[156]  Seongsoo Lee,et al.  2-D Large Inverse Transform (16×16, 32×32) for HEVC (High Efficiency Video Coding) , 2012 .

[157]  Anderson Faustino da Silva,et al.  Compiling for performance and power efficiency , 2013, 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).

[158]  Jian Sun,et al.  Guided Image Filtering , 2010, IEEE Transactions on Pattern Analysis and Machine Intelligence.

[159]  Nan Jiang,et al.  A detailed and flexible cycle-accurate Network-on-Chip simulator , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[160]  Jim D. Garside,et al.  Overview of the SpiNNaker System Architecture , 2013, IEEE Transactions on Computers.

[161]  Qiong Li,et al.  ECAM: An Efficient Cache Management Strategy for Address Mappings in Flash Translation Layer , 2013, APPT.

[162]  Pasi Liljeberg,et al.  Smart hill climbing for agile dynamic mapping in many-core systems , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[163]  Dilma Da Silva,et al.  CloudBench: Experiment Automation for Cloud Environments , 2013, 2013 IEEE International Conference on Cloud Engineering (IC2E).

[164]  Jun Fan,et al.  Efficient complex broadside coupled trace modeling and estimation of crosstalk impact using statistical BER analysis for high volume, high performance printed circuit board designs , 2013, 2013 IEEE 63rd Electronic Components and Technology Conference.

[165]  Max Kuhn,et al.  Applied Predictive Modeling , 2013 .

[166]  Chang-Su Kim,et al.  Optimized contrast enhancement for real-time image and video dehazing , 2013, J. Vis. Commun. Image Represent..

[167]  Andrew S. Cassidy,et al.  Cognitive computing programming paradigm: A Corelet Language for composing networks of neurosynaptic cores , 2013, The 2013 International Joint Conference on Neural Networks (IJCNN).

[168]  Cheng-Xiang Wang,et al.  Predicting burst error statistics of digital wireless systems with HARQ , 2013, 2013 9th International Wireless Communications and Mobile Computing Conference (IWCMC).

[169]  Huimin Lu,et al.  Underwater image enhancement using guided trigonometric bilateral filter and fast automatic color correction , 2013, 2013 IEEE International Conference on Image Processing.

[170]  Madhukar Budagavi,et al.  Core Transform Design in the High Efficiency Video Coding (HEVC) Standard , 2013, IEEE Journal of Selected Topics in Signal Processing.

[171]  Gaofeng Meng,et al.  Efficient Image Dehazing with Boundary Constraint and Contextual Regularization , 2013, 2013 IEEE International Conference on Computer Vision.

[172]  Jongmoo Choi,et al.  Improving performance and lifetime of the SSD RAID-based host cache through a log-structured approach , 2013, INFLOW '13.

[173]  Jerry D. Gibson,et al.  Low Complexity Video Encoding and High Complexity Decoding for UAV Reconnaissance and Surveillance , 2013, 2013 IEEE International Symposium on Multimedia.

[174]  Ming Fan,et al.  Class Imbalance Oriented Logistic Regression , 2014, 2014 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery.

[175]  Xuhua Shi,et al.  An agent-based immune evolutionary learning algorithm and its application , 2014, Proceeding of the 11th World Congress on Intelligent Control and Automation.

[176]  Marcelo Porto,et al.  Low-Cost and High-Throughput Hardware Design for the HEVC 16x16 2-D DCT Transform , 2014 .

[177]  Steve B. Furber,et al.  The SpiNNaker Project , 2014, Proceedings of the IEEE.

[178]  Sung Woo Chung,et al.  Leveraging Process Variation for Performance and Energy: In the Perspective of Overclocking , 2014, IEEE Transactions on Computers.

[179]  Minh N. Do,et al.  Fast Global Image Smoothing Based on Weighted Least Squares , 2014, IEEE Transactions on Image Processing.

[180]  Canqun Yang,et al.  MilkyWay-2 supercomputer: system and application , 2014, Frontiers of Computer Science.

[181]  Ilker Hamzaoglu,et al.  A low energy HEVC inverse transform hardware , 2014, IEEE Transactions on Consumer Electronics.

[182]  Zibin Zheng,et al.  Investigating QoS of Real-World Web Services , 2014, IEEE Transactions on Services Computing.

[183]  Yuan Xie,et al.  Making B+-tree efficient in PCM-based main memory , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[184]  Sanjay Kumar,et al.  System software for persistent memory , 2014, EuroSys '14.

[185]  Alex Alves Freitas,et al.  Evolutionary Design of Decision-Tree Algorithms Tailored to Microarray Gene Expression Data Sets , 2014, IEEE Transactions on Evolutionary Computation.

[186]  Gopinath Ganapathy,et al.  An approach for selecting best available services through a new method of decomposing QoS constraints , 2014, Service Oriented Computing and Applications.

[187]  Massoud Pedram,et al.  Balancing On-Chip Network Latency in Multi-application Mapping for Chip-Multiprocessors , 2014, 2014 IEEE 28th International Parallel and Distributed Processing Symposium.

[188]  Nikita Shamgunov The MemSQL In-Memory Database System , 2014, IMDM@VLDB.

[189]  Qin Jin,et al.  Persistent B+-Trees in Non-Volatile Main Memory , 2015, Proc. VLDB Endow..

[190]  Nouri Masmoudi,et al.  Performance analysis of inter-layer prediction module for H.264/SVC , 2015 .

[191]  Yue Yang,et al.  A Sufficient Condition for Deadlock-Free Adaptive Routing in Mesh Networks , 2015, IEEE Computer Architecture Letters.

[192]  Qiong Li,et al.  CER-IOS: Internal Resource Utilization Optimized I/O Scheduling for Solid State Drives , 2015, 2015 IEEE 21st International Conference on Parallel and Distributed Systems (ICPADS).

[193]  Jörn Ostermann,et al.  Stereo mosaicking and 3D-video for singleview HDTV aerial sequences using a low bit rate ROI coding framework , 2015, 2015 12th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS).

[194]  Jun Li,et al.  Quartz: A Lightweight Performance Emulator for Persistent Memory Software , 2015, Middleware.

[195]  Yannis Cotronis,et al.  A Practical Performance Model for Compute and Memory Bound GPU Kernels , 2015, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

[196]  Massoud Pedram,et al.  Power punch: Towards non-blocking power-gating of NoC routers , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[197]  Kiyoung Choi,et al.  A scalable processing-in-memory accelerator for parallel graph processing , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

[198]  Sergey Ioffe,et al.  Batch Normalization: Accelerating Deep Network Training by Reducing Internal Covariate Shift , 2015, ICML.

[199]  Zhaolin Li,et al.  A Low-Latency and Low-Power Hybrid Scheme for On-Chip Networks , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[200]  Keith D. Underwood,et al.  Intel® Omni-path Architecture: Enabling Scalable, High Performance Fabrics , 2015, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects.

[201]  Bernard Brezzo,et al.  TrueNorth: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable Neurosynaptic Chip , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[202]  Taras Iakymchuk,et al.  Simplified spiking neural network architecture and STDP learning algorithm applied to image classification , 2015, EURASIP J. Image Video Process..

[203]  Rizwana Begum,et al.  Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-component DVFS , 2015, 2015 IEEE International Symposium on Workload Characterization.

[204]  Andreja Samcovic,et al.  Mathematical modeling of coding gain and rate-distortion function in multihypothesis motion compensation for video signals , 2015 .

[205]  Deog-Kyoon Jeong,et al.  A 22 to 26.5 Gb/s Optical Receiver With All-Digital Clock and Data Recovery in a 65 nm CMOS Process , 2015, IEEE Journal of Solid-State Circuits.

[206]  Salvatore Venticinque,et al.  Cloud Evaluation: Benchmarking and Monitoring , 2015 .

[207]  Ethan L. Miller,et al.  Purity: Building Fast, Highly-Available Enterprise Flash Storage from Commodity Components , 2015, SIGMOD Conference.

[208]  Yale N. Patt,et al.  Filtered runahead execution with a runahead buffer , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[209]  San-Yih Hwang,et al.  Service Selection for Web Services with Probabilistic QoS , 2015, IEEE Transactions on Services Computing.

[210]  Mrinmoy Ghosh,et al.  Performance analysis of NVMe SSDs and their implication on real world databases , 2015, SYSTOR.

[211]  Chenchen Deng,et al.  An Efficient Application Mapping Approach for the Co-Optimization of Reliability, Energy, and Performance in Reconfigurable NoC Architectures , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[212]  Jung Ho Ahn,et al.  NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[213]  Gregory Cohen,et al.  Converting Static Image Datasets to Spiking Neuromorphic Datasets Using Saccades , 2015, Front. Neurosci..

[214]  Mohamed-Slim Alouini,et al.  Performance Analysis of Free-Space Optical Links Over Málaga M Turbulence Channels With Pointing Errors , 2016, IEEE Transactions on Wireless Communications.

[215]  Kefei Wang,et al.  The Efficient In-band Management for Interconnect Network in Tianhe-2 System , 2016, 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP).

[216]  Jian Sun,et al.  Deep Residual Learning for Image Recognition , 2015, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[217]  Yong Gan,et al.  Adaptive early termination mode decision for 3D-HEVC using inter-view and spatio-temporal correlations , 2016 .

[218]  Chao Yang,et al.  10M-Core Scalable Fully-Implicit Solver for Nonhydrostatic Atmospheric Dynamics , 2016, SC16: International Conference for High Performance Computing, Networking, Storage and Analysis.

[219]  Naoya Nishimura,et al.  28-Gb/s × 24-channel CDR-integrated VCSEL-based transceiver module for high-density optical interconnects , 2016, 2016 Optical Fiber Communications Conference and Exhibition (OFC).

[220]  Richard S. Zemel,et al.  Gated Graph Sequence Neural Networks , 2015, ICLR.

[221]  Jian Zhang,et al.  Extreme-Scale Phase Field Simulations of Coarsening Dynamics on the Sunway TaihuLight Supercomputer , 2016, SC16: International Conference for High Performance Computing, Networking, Storage and Analysis.

[222]  Cong Xu,et al.  Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[223]  Minh N. Do,et al.  Robust Image and Video Dehazing with Visual Artifact Suppression via Gradient Residual Minimization , 2016, ECCV.

[224]  Keith D. Underwood,et al.  Enabling Scalable High-Performance Systems with the Intel Omni-Path Architecture , 2016, IEEE Micro.

[225]  Jian Yang,et al.  Probabilistic QoS Aggregations for Service Composition , 2016, ACM Trans. Web.

[226]  Kwanghyun La,et al.  Improving I/O performance of NVMe SSD on virtual machines , 2016, SAC.

[227]  Wenguang Chen,et al.  NEUTRAMS: Neural network transformation and co-design under neuromorphic hardware constraints , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[228]  Shai Avidan,et al.  Non-local Image Dehazing , 2016, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[229]  Edwin Hsing-Mean Sha,et al.  Application Mapping and Scheduling for Network-on-Chip-Based Multiprocessor System-on-Chip With Fine-Grain Communication Optimization , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[230]  Nawal Guermouche,et al.  Dynamic Selection for Service Composition Based on Temporal and QoS Constraints , 2016, 2016 IEEE International Conference on Services Computing (SCC).

[231]  Jia Deng,et al.  Stacked Hourglass Networks for Human Pose Estimation , 2016, ECCV.

[232]  Wang Wenjian,et al.  Uncertainty-Aware Adaptive Service Composition in Cloud Computing , 2016 .

[233]  Jung Ho Ahn,et al.  Chameleon: Versatile and practical near-DRAM acceleration architecture for large memory systems , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[234]  Tao Zhang,et al.  PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[235]  Dacheng Tao,et al.  DehazeNet: An End-to-End System for Single Image Haze Removal , 2016, IEEE Transactions on Image Processing.

[236]  Hans-Juergen Boehm,et al.  Makalu: fast recoverable allocation of non-volatile memory , 2016, OOPSLA.

[237]  Ilker Hamzaoglu,et al.  A computation and energy reduction technique for HEVC Discrete Cosine Transform , 2016, IEEE Transactions on Consumer Electronics.

[238]  Mohinder Malhotra Single Image Haze Removal Using Dark Channel Prior , 2016 .

[239]  Yu Wang,et al.  Going Deeper with Embedded FPGA Platform for Convolutional Neural Network , 2016, FPGA.

[240]  Chen Cui,et al.  Detailed and clock-driven simulation for HPC interconnection network , 2016, Frontiers of Computer Science.

[241]  Andrew S. Cassidy,et al.  Conversion of artificial recurrent neural networks to spiking neural networks for low-power neuromorphic hardware , 2016, 2016 IEEE International Conference on Rebooting Computing (ICRC).

[242]  Huang Kai Yan Xiao lang Wang Shu peng Coverage directed test generation based on genetic algorithm , 2016 .

[243]  Ismail Oukid,et al.  FPTree: A Hybrid SCM-DRAM Persistent and Concurrent B-Tree for Storage Class Memory , 2016, SIGMOD Conference.

[244]  Onur Mutlu,et al.  Continuous runahead: Transparent hardware acceleration for memory intensive workloads , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[245]  David B. Thomas,et al.  Neural Network Based Reinforcement Learning Acceleration on FPGA Platforms , 2017, CARN.

[246]  Eugenio Culurciello,et al.  Snowflake: An efficient hardware accelerator for convolutional neural networks , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

[247]  Weiguo Liu,et al.  18.9-Pflops Nonlinear Earthquake Simulation on Sunway TaihuLight: Enabling Depiction of 18-Hz and 8-Meter Scenarios , 2017, SC17: International Conference for High Performance Computing, Networking, Storage and Analysis.

[248]  Yuan Xie,et al.  DRISA: A DRAM-based Reconfigurable In-Situ Accelerator , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[249]  Anahita Shayesteh,et al.  NVMe-over-fabrics performance characterization and the path to low-overhead flash disaggregation , 2017, SYSTOR.

[250]  Kilian Q. Weinberger,et al.  Densely Connected Convolutional Networks , 2016, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[251]  Ibrahim Farag,et al.  Performance vs. Power and Energy Consumption: Impact of Coding Style and Compiler , 2017 .

[252]  Tianshi Chen,et al.  DaDianNao: A Neural Network Supercomputer , 2017, IEEE Transactions on Computers.

[253]  Jure Leskovec,et al.  Inductive Representation Learning on Large Graphs , 2017, NIPS.

[254]  Vivienne Sze,et al.  Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks , 2017, IEEE Journal of Solid-State Circuits.

[255]  Jizheng Xu,et al.  AOD-Net: All-in-One Dehazing Network , 2017, 2017 IEEE International Conference on Computer Vision (ICCV).

[256]  Jianwen Wei,et al.  神威太湖之光上OpenFOAM的移植与优化 (Porting and Optimizing OpenFOAM on Sunway TaihuLight System) , 2017, 计算机科学.

[257]  Witawas Srisa-an,et al.  Energy-Efficient I/O Thread Schedulers for NVMe SSDs on NUMA , 2017, 2017 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGRID).

[258]  Lei Zhang,et al.  Robust Multi-Exposure Image Fusion: A Structural Patch Decomposition Approach , 2017, IEEE Transactions on Image Processing.

[259]  Sam H. Noh,et al.  Failure-Atomic Slotted Paging for Persistent Memory , 2017, ASPLOS.

[260]  Min Chen,et al.  Efficient architecture of variable size HEVC 2D-DCT for FPGA platforms , 2017 .

[261]  Takayuki Shibasaki,et al.  A 22.5-to-32-Gb/s 3.2-pJ/b Referenceless Baud-Rate Digital CDR With DFE and CTLE in 28-nm CMOS , 2017, IEEE Journal of Solid-State Circuits.

[262]  Christoforos E. Kozyrakis,et al.  ReFlex: Remote Flash ≈ Local Flash , 2017, ASPLOS.

[263]  Hyoukjun Kwon,et al.  Rethinking NoCs for spatial neural network accelerators , 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[264]  David Blaauw,et al.  Compute Caches , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[265]  Timo Hämäläinen,et al.  High-level synthesis implementation of HEVC 2-D DCT/DST on FPGA , 2017, 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

[266]  Ilker Hamzaoglu,et al.  An FPGA implementation of future video coding 2D transform , 2017, 2017 IEEE 7th International Conference on Consumer Electronics - Berlin (ICCE-Berlin).

[267]  D. Maheswari,et al.  Churn prediction on huge telecom data using hybrid firefly based classification , 2017 .

[268]  Sungjin Lee,et al.  Performance Analysis of NVMe SSD-Based All-flash Array Systems , 2018, 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[269]  Youjip Won,et al.  Endurable Transient Inconsistency in Byte-Addressable Persistent B+-Tree , 2018, FAST.

[270]  Yu Wang,et al.  Angel-Eye: A Complete Design Flow for Mapping CNN Onto Embedded FPGA , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[271]  Shaahin Angizi,et al.  CMP-PIM: An Energy-Efficient Comparator-based Processing-In-Memory Neural Network Accelerator , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[272]  Liquan Xiao,et al.  Duchy: Achieving Both SSD Durability and Controllable SMR Cleaning Overhead in Hybrid Storage Systems , 2018, ICPP.

[273]  Onur Mutlu,et al.  FLIN: Enabling Fairness and Enhancing Performance in Modern NVMe Solid State Drives , 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

[274]  Dongyoung Kim,et al.  ZeNA: Zero-Aware Neural Network Accelerator , 2018, IEEE Design & Test.

[275]  Lei Wang,et al.  Systolic Array Based Accelerator and Algorithm Mapping for Deep Learning Algorithms , 2018, NPC.

[276]  Qiong Li,et al.  Pinpointing and scheduling access conflicts to improve internal resource utilization in solid-state drives , 2018, Frontiers of Computer Science.

[277]  Adrian Galdran,et al.  Image dehazing by artificial multiple-exposure image fusion , 2018, Signal Process..

[278]  Heon Young Yeom,et al.  Design of Skiplist Based Key-Value Store on Non-Volatile Memory , 2018, 2018 IEEE 3rd International Workshops on Foundations and Applications of Self* Systems (FAS*W).

[279]  Andrea C. Arpaci-Dusseau,et al.  Redesigning LSMs for Nonvolatile Memory with NoveLSM , 2018, USENIX Annual Technical Conference.

[280]  Shaahin Angizi,et al.  PIMA-Logic: A Novel Processing-in-Memory Architecture for Highly Flexible and Energy-Efficient Logic Computation , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[281]  Hong Wang,et al.  Loihi: A Neuromorphic Manycore Processor with On-Chip Learning , 2018, IEEE Micro.

[282]  Giacomo Indiveri,et al.  A Scalable Multicore Architecture With Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs) , 2017, IEEE Transactions on Biomedical Circuits and Systems.

[283]  Anna Sikora,et al.  Dynamic Tuning of OpenMP Memory Bound Applications in Multisocket Systems using MATE , 2018, ICPP Workshops.

[284]  Wonha Kim,et al.  Single Image Dehazing Using Color Ellipsoid Prior , 2018, IEEE Transactions on Image Processing.

[285]  Hazim Kemal Ekenel,et al.  Cycle-Dehaze: Enhanced CycleGAN for Single Image Dehazing , 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

[286]  Nikil D. Dutt,et al.  CARLsim 4: An Open Source Library for Large Scale, Biologically Detailed Spiking Neural Network Simulation using Heterogeneous Clusters , 2018, 2018 International Joint Conference on Neural Networks (IJCNN).

[287]  Wei Zhang,et al.  Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip Based Multiprocessor System-on-Chip , 2018, IEEE Transactions on Computers.

[288]  Vishal M. Patel,et al.  Densely Connected Pyramid Dehazing Network , 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition.

[289]  V. K. Agrawal,et al.  A Genetic Algorithm-Based Heuristic Method for Test Set Generation in Reversible Circuits , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[290]  Vishal M. Patel,et al.  Multi-scale Single Image Dehazing Using Perceptual Pyramid Deep Network , 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

[291]  Luca Benini,et al.  NEURAghe , 2017, ACM Trans. Reconfigurable Technol. Syst..

[292]  Sam H. Noh,et al.  SLM-DB: Single-Level Key-Value Store with Persistent Memory , 2019, FAST.

[293]  Rachata Ausavarungnirun,et al.  CoNDA: Efficient Cache Coherence Support for Near-Data Accelerators , 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

[294]  Rajiv Kapoor,et al.  Fog removal in images using improved dark channel prior and contrast limited adaptive histogram equalization , 2019, Multimedia Tools and Applications.

[295]  Anna Wang,et al.  AIPNet: Image-to-Image Single Image Dehazing With Atmospheric Illumination Prior , 2019, IEEE Transactions on Image Processing.

[296]  Alessandro Aimar,et al.  NullHop: A Flexible Convolutional Neural Network Accelerator Based on Sparse Representations of Feature Maps , 2017, IEEE Transactions on Neural Networks and Learning Systems.

[297]  Yuan Xie,et al.  FPSA: A Full System Stack Solution for Reconfigurable ReRAM-based NN Accelerator Architecture , 2019, ASPLOS.

[298]  Yu Wang,et al.  GraphH: A Processing-in-Memory Architecture for Large-Scale Graph Processing , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[299]  Lei Yang,et al.  Optimal Application Mapping and Scheduling for Network-on-Chips with Computation in STT-RAM Based Router , 2019, IEEE Transactions on Computers.

[300]  Xiaochun Ye,et al.  C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion , 2019, 2019 IEEE 21st International Conference on High Performance Computing and Communications; IEEE 17th International Conference on Smart City; IEEE 5th International Conference on Data Science and Systems (HPCC/SmartCity/DSS).

[301]  Sungjin Lee,et al.  Alleviating Garbage Collection Interference Through Spatial Separation in All Flash Arrays , 2019, USENIX Annual Technical Conference.

[302]  Lei Wang,et al.  A Systolic SNN Inference Accelerator and its Co-optimized Software Framework , 2019, ACM Great Lakes Symposium on VLSI.

[303]  James S. Plank,et al.  A Review of Spiking Neuromorphic Hardware Communication Systems , 2019, IEEE Access.

[304]  Jun Zhou,et al.  A System-Level Simulator for RRAM-Based Neuromorphic Computing Chips , 2019, ACM Trans. Archit. Code Optim..

[305]  Chu He,et al.  No-reference color image quality assessment: from entropy to perceptual quality , 2018, EURASIP Journal on Image and Video Processing.

[306]  Mingguo Zhao,et al.  Towards artificial general intelligence with hybrid Tianjic chip architecture , 2019, Nature.

[307]  Hai-Miao Hu,et al.  Single Image Defogging Based on Illumination Decomposition for Visual Maritime Surveillance , 2019, IEEE Transactions on Image Processing.

[308]  Yafei Dai,et al.  NeuGraph: Parallel Deep Neural Network Computation on Large Graphs , 2019, USENIX Annual Technical Conference.

[309]  Jie Xu,et al.  RFPL: A Recovery Friendly Parity Logging Scheme for Reducing Small Write Penalty of SSD RAID , 2019, ICPP.

[310]  J. Yang,et al.  Memristive crossbar arrays for brain-inspired computing , 2019, Nature Materials.

[311]  Yong Dong,et al.  A holistic energy-efficient approach for a processor-memory system , 2019, Tsinghua Science and Technology.

[312]  Tomyslav Sledevic,et al.  Adaptation of Convolution and Batch Normalization Layer for CNN Implementation on FPGA , 2019, 2019 Open Conference of Electrical, Electronic and Information Sciences (eStream).

[313]  Romain Brette,et al.  Brian 2: an intuitive and efficient neural simulator , 2019, bioRxiv.

[314]  Shaahin Angizi,et al.  AlignS: A Processing-In-Memory Accelerator for DNA Short Read Alignment Leveraging SOT-MRAM , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

[315]  Shouyi Yin,et al.  Towards Efficient Compact Network Training on Edge-Devices , 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[316]  Chen Cui,et al.  Analyzing time-dimension communication characterizations for representative scientific applications on supercomputer systems , 2019, Frontiers of Computer Science.

[317]  Mark Parsons,et al.  Evaluating the Arm Ecosystem for High Performance Computing , 2019, PASC.

[318]  Patrick Hansen,et al.  FixyNN: Efficient Hardware for Mobile Computer Vision via Transfer Learning , 2019, ArXiv.

[319]  Liang Bai,et al.  A multiple k-means clustering ensemble algorithm to find nonlinearly separable clusters , 2020, Inf. Fusion.

[320]  Fang Li,et al.  基于十亿亿次国产超算系统的流体力学软件众核适应性研究 (Research on Adaptation of CFD Software Based on Many-core Architecture of 100P Domestic Supercomputing System) , 2020, 计算机科学.

[321]  Nikil D. Dutt,et al.  Mapping Spiking Neural Networks to Neuromorphic Hardware , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[322]  Lei Wang,et al.  SNEAP: A Fast and Efficient Toolchain for Mapping Large-Scale Spiking Neural Network onto NoC-based Neuromorphic Platform , 2020, ACM Great Lakes Symposium on VLSI.

[323]  Hai Jin,et al.  Optimizing the SSD Burst Buffer by Traffic Detection , 2020, ACM Trans. Archit. Code Optim..

[324]  Jie Li,et al.  Weighted Guided Image Filtering With Steering Kernel , 2020, IEEE Transactions on Image Processing.

[325]  Wael Sellami,et al.  Dynamic Provisioning of Service Composition in a Multi-Tenant SaaS Environment , 2020, Journal of Network and Systems Management.

[326]  Ming-Hong Yang,et al.  Idler : I/O Workload Controlling for Better Responsiveness on Host-Aware Shingled Magnetic Recording Drives , 2020, IEEE Transactions on Computers.

[327]  Yuan Yuan,et al.  How to Evaluate Various Commonly Used Program Classification Methods , 2020 .

[328]  Sangkeun Lee,et al.  Radiance–Reflectance Combined Optimization and Structure-Guided $\ell _0$-Norm for Single Image Dehazing , 2020, IEEE Transactions on Multimedia.

[329]  Jun Li,et al.  Single image dehazing via self-constructing image fusion , 2020, Signal Process..

[330]  K. Rupp 48 Years of Microprocessor Trend Data , 2020 .

[331]  Ehsan Aghamohammadzadeh,et al.  A novel model for optimisation of logistics and manufacturing operation service composition in Cloud manufacturing system focusing on cloud-entropy , 2019, Int. J. Prod. Res..

[332]  Chenggang Dai,et al.  Single hazy image restoration using robust atmospheric scattering model , 2020, Signal Process..

[333]  Huiquan Wang,et al.  Lazy scheduling based disk energy optimization method , 2020 .

[334]  Wei Wu,et al.  Compiler Optimizing for Power Efficiency of On-Chip Memory , 2020 .

[335]  Wang Lei,et al.  Bactran: A Hardware Batch Normalization Implementation for CNN Training Engine , 2021, IEEE Embedded Systems Letters.