Pradip Bose

发表

Pradip Bose, Saibal Mukhopadhyay, 2019, IEEE Micro.

Michael Gschwind, David M. Brooks, Pradip Bose, 2004, IEEE Transactions on Computers.

Pradip Bose, Kunio Uchiyama, 2005, IEEE Micro.

Pradip Bose, P. Bose, 1988, AWOC.

Pradip Bose, Amlan Ganguly, Partha Kundu, 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

Pradip Bose, John-David Wellman, 1993, The Sixth International Conference on VLSI Design.

Gero Dittmann, Hiren D. Patel, Pradip Bose, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Alan J. Weger, Pradip Bose, Zhigang Hu, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Kevin Skadron, David M. Brooks, Pradip Bose, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Pradip Bose, Sarita V. Adve, Jude A. Rivers, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Mircea R. Stan, Swagath Venkataramani, Pradip Bose, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Alan J. Weger, Pradip Bose, Jeonghwan Choi, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2017 .

Francisco J. Cazorla, Mateo Valero, Pradip Bose, 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Pradip Bose, David H. Albonesi, Alper Buyuktosunoglu, 2002, ISLPED '02.

Jacob A. Abraham, Pradip Bose, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

Pradip Bose, Alper Buyuktosunoglu, Murali Annavaram, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Meeta Sharma Gupta, Pradip Bose, 2011, Low-Power Variation-Tolerant Design in Nanometer Silicon.

Pradip Bose, 2005, IEEE Micro.

James E. Smith, Tejas Karkhanis, Pradip Bose, 2002, ISLPED '02.

Pradip Bose, Thomas M. Conte, P. Bose, 1998, Computer.

Pradip Bose, Zhigang Hu, Victor V. Zyuban, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Pradip Bose, Vladimir Getov, Adolfy Hoisie, 2016, Computer.

Pradip Bose, Matthew M. Ziegler, Alper Buyuktosunoglu, 2017, IBM J. Res. Dev..

David M. Brooks, Pradip Bose, David H. Albonesi, 2001, GLSVLSI '01.

Michael Gschwind, David M. Brooks, Pradip Bose, 2002, MICRO.

Pradip Bose, Chen-Yong Cher, Karthik Pattabiraman, 2015, 2015 IEEE 26th International Symposium on Software Reliability Engineering (ISSRE).

Pradip Bose, 2005, IEEE Micro.

Gokcen Kestor, Pradip Bose, Chen-Yong Cher, 2015, SC15: International Conference for High Performance Computing, Networking, Storage and Analysis.

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2018, 2018 IEEE Intelligent Vehicles Symposium (IV).

Michael Gschwind, David M. Brooks, Pradip Bose, 2003, IBM J. Res. Dev..

Pradip Bose, Balaram Sinharoy, Zhigang Hu, 2005, 11th International Symposium on High-Performance Computer Architecture.

Jacob A. Abraham, Pradip Bose, 1982, 19th Design Automation Conference.

Xiaodong Li, Pradip Bose, Sarita V. Adve, 2005, 2005 International Conference on Dependable Systems and Networks (DSN'05).

Pradip Bose, Joseph J. Sharkey, Alper Buyuktosunoglu, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Pradip Bose, 2004, IEEE Micro.

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Tejas Karkhanis, Pradip Bose, David H. Albonesi, 2003, ISCA '03.

Pradip Bose, P. Bose, 2011, Encyclopedia of Parallel Computing.

Louise Trevillyan, Pradip Bose, Vijay S. Iyengar, 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

Kevin Skadron, Pradip Bose, Derek Chiou, 2007, IEEE Micro.

Franck Cappello, Andrew A. Chien, Jacob A. Abraham, 2014, Int. J. High Perform. Comput. Appl..

Pradip Bose, P. Bose, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Pradip Bose, 2005, IEEE Micro.

Pradip Bose, P. Bose, 1988, International Conference on Parallel Processing.

Minyi Guo, Quan Chen, Pradip Bose, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Sharath Pankanti, Guna Seetharaman, Pradip Bose, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

James E. Smith, Michael Gschwind, Tejas Karkhanis, 2002, PACS.

Gu-Yeon Wei, David M. Brooks, Pradip Bose, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Pradip Bose, Diana Marculescu, David H. Albonesi, 2003, IEEE Micro.

Meeta Sharma Gupta, Pradip Bose, Chen-Yong Cher, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Eric Cheng, Rajiv V. Joshi, Kevin Skadron, 2014, VLSI-DAT 2014.

Sharath Pankanti, Pradip Bose, Alper Buyuktosunoglu, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Dilma Da Silva, Pradip Bose, Priyanka Tembey, 2013, IEEE Computer Architecture Letters.

Meeta Sharma Gupta, Pradip Bose, Marc González, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Pradip Bose, Todd M. Austin, Thomas M. Conte, 1999, IEEE Micro.

Pradip Bose, 2001, 2001 International Conference on Dependable Systems and Networks.

Pradip Bose, 2012, ISLPED '12.

Pradip Bose, Philip N. Strenski, Philip G. Emma, 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

Pradip Bose, Chen-Yong Cher, Alejandro Rico, 2010, CF '10.

Pradip Bose, Philip G. Emma, P. Bose, 1996, WCAE-2 '96.

Pradip Bose, B. Ramakrishna Rau, Mike Schlansker, 1982, ACM-SE 20.

Pradip Bose, Alper Buyuktosunoglu, Murali Annavaram, 2010, ISCA'10.

Kevin Skadron, Gu-Yeon Wei, Mircea R. Stan, 2014 .

Pradip Bose, Chen-Yong Cher, Karthik Pattabiraman, 2016, SC16: International Conference for High Performance Computing, Networking, Storage and Analysis.

Pradip Bose, Jude A. Rivers, Liang Wang, 2014, 2014 IEEE International Reliability Physics Symposium.

Margaret Martonosi, Pradip Bose, Chen-Yong Cher, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Pradip Bose, 2005, 2005 IEEE Hot Chips XVII Symposium (HCS).

Pradip Bose, P. Bose, 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.

Pradip Bose, Alexandre E. Eichenberger, Marc Casas, 2017, ICS '17.

Pradip Bose, Sarita V. Adve, Liang Wang, 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).

Eric Cheng, Rajiv V. Joshi, Arun Paidimarri, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Pradip Bose, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Eric Cheng, Rajiv V. Joshi, Kevin Skadron, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Pradip Bose, Alper Buyuktosunoglu, A. Buyuktosunoglu, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Pradip Bose, Timothy J. Slegel, Alper Buyuktosunoglu, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Pradip Bose, Arun Joseph, Alper Buyuktosunoglu, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Pradip Bose, S. Surya, P. Bose, 1995, IBM J. Res. Dev..

Pradip Bose, Ethan H. Cannon, Jude A. Rivers, 2008, IBM J. Res. Dev..

Gero Dittmann, Hiren D. Patel, Pradip Bose, 2008, 2008 Asia and South Pacific Design Automation Conference.

Eric Cheng, Jacob A. Abraham, Kevin Skadron, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Pradip Bose, Sarita V. Adve, Jude A. Rivers, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Pradip Bose, Emrah Acar, Alper Buyuktosunoglu, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Pradip Bose, 2005, IEEE Micro.

Yu Cao, Pradip Bose, James Tschanz, 2009, IEEE Des. Test Comput..

Jacob A. Abraham, Pradip Bose, S. Surya, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Pradip Bose, 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

David M. Brooks, Pradip Bose, David H. Albonesi, 2000, PACS.

Pradip Bose, Daniel J. Sorin, Geert Janssen, 2009, 2009 7th IEEE/ACM International Conference on Formal Methods and Models for Co-Design.

Rajiv V. Joshi, Arun Paidimarri, Pradip Bose, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Maged M. Michael, Pradip Bose, Kattamuri Ekanadham, 1997, Proceedings 11th International Parallel Processing Symposium.

Meeta Sharma Gupta, Pradip Bose, Jude A. Rivers, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Pradip Bose, 2006, IEEE Micro.

Alan J. Weger, Meeta Sharma Gupta, Pradip Bose, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Pradip Bose, Prabhakar Kudva, Peter W. Cook, 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Pradip Bose, Mayan Moudgill, Jaime H. Moreno, 1999, 1999 IEEE International Performance, Computing and Communications Conference (Cat. No.99CH36305).

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Pradip Bose, Ronald F. DeMara, Alper Buyuktosunoglu, 2017 .

Xiaodong Li, Pradip Bose, Sarita V. Adve, 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).

Pradip Bose, Sarita V. Adve, Liang Wang, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Pradip Bose, David H. Albonesi, Abhinandan Majumdar, 2012, BuildSys '12.

Jacob A. Abraham, Pradip Bose, 1982, DAC 1982.

Xiaodong Li, Pradip Bose, Sarita V. Adve, 2008, 2008 International Symposium on Computer Architecture.

Pradip Bose, Sarita V. Adve, Jude A. Rivers, 2004 .

Kevin Skadron, Pradip Bose, Liang Wang, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Hiroshi Sasaki, Pradip Bose, Alper Buyuktosunoglu, 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).

Pradip Bose, Subir Bandyopadhyay, D. Dutta Majumder, 1990, Proceedings., 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Margaret Martonosi, David M. Brooks, Pradip Bose, 2000, PACS.

Pradip Bose, Miquel Moretó, Calvin Bulla, 2018, 2018 IEEE International Symposium on Workload Characterization (IISWC).

Pradip Bose, Philip G. Emma, Roy Yu, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Pradip Bose, Chen-Yong Cher, Alper Buyuktosunoglu, 2006 .

Eric Cheng, Jacob A. Abraham, Kevin Skadron, 2017 .

Francisco J. Cazorla, Mateo Valero, Pradip Bose, 2013, IEEE Transactions on Computers.

Pradip Bose, 2003, IEEE Micro.

Swagath Venkataramani, Pradip Bose, Alper Buyuktosunoglu, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Pradip Bose, P. Bose, 2003, IEEE Micro.

Pradip Bose, Sarita V. Adve, Jude A. Rivers, 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.

Pradip Bose, Yutaka Sugawara, Alper Buyuktosunoglu, 2013, IBM J. Res. Dev..

Margaret Martonosi, David M. Brooks, Pradip Bose, 2004, PERV.

Pradip Bose, Chen-Yong Cher, Alper Buyuktosunoglu, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Pradip Bose, Victor V. Zyuban, Timothy Mark Pinkston, 2008, 2008 International Symposium on Computer Architecture.

Pradip Bose, Alper Buyuktosunoglu, Daniel J. Sorin, 2009, ISLPED.

Pradip Bose, Zhigang Hu, Victor V. Zyuban, 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).

Michael C. Huang, Pradip Bose, Eby G. Friedman, 2003, Computer.

Pradip Bose, 2006, IEEE Micro.

Pradip Bose, Pritish R. Parida, Alper Buyuktosunoglu, 2016, 2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm).

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2016 .

Pradip Bose, David H. Albonesi, David Brooks, 2002 .

Pradip Bose, Ruby B. Lee, Charles R. Moore, 2003, IEEE Micro.

Alan J. Weger, Meeta Sharma Gupta, Pradip Bose, 2010, GLSVLSI '10.

Francisco J. Cazorla, Mateo Valero, Pradip Bose, 2011, IEEE Micro.

Pradip Bose, Alper Buyuktosunoglu, Charles Johnson, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Masoud Daneshtalab, Pradip Bose, Maurizio Palesi, 2016, J. Comput. Syst. Sci..

Eric Cheng, Kevin Skadron, Mircea R. Stan, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Pradip Bose, Heather Hanson, Alper Buyuktosunoglu, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Francisco J. Cazorla, Mateo Valero, Pradip Bose, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Marco Levorato, Pradip Bose, Alper Buyuktosunoglu, 2021, Computing.

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2021, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Pradip Bose, Alper Buyuktosunoglu, Vaidyanathan Srinivasan, 2021, IEEE Computer Architecture Letters.

Pradip Bose, Aporva Amarnath, Hubertus Franke, 2021, IEEE Computer Architecture Letters.

Pradip Bose, José E. Moreira, Balaram Sinharoy, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Pradip Bose, Matthias Pflanz, Karthik Swaminathan, 2021, 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks - Supplemental Volume (DSN-S).

Pradip Bose, Alper Buyuktosunoglu, John-David Wellman, 2021, MICRO.

Pradip Bose, A. Buyuktosunoglu, D. Albonesi, 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

Pradip Bose, Sarita V. Adve, Jude A. Rivers, 2005 .

Pradip Bose, Sarita V. Adve, Jude A. Rivers, 2004 .

Pradip Bose, Alper Buyuktosunoglu, Augusto Vega, 2017, IEEE Computer Architecture Letters.

Pradip Bose, Kunio Uchiyama, P. Bose, 2022 .