Yiran Chen
发表
Cong Xu,
Yiran Chen,
Chunpeng Wu,
2017,
NIPS.
Samyam Rajbhandari,
Yuxiong He,
W. Wen,
2018
.
Fang Liu,
Yuxiong He,
Wei Wen,
2017,
ICLR.
Yiran Chen,
Chunpeng Wu,
Hai Li,
2016,
NIPS.
Pradeep Dubey,
Ping Tak Peter Tang,
Yiran Chen,
2016,
ICLR.
Yiran Chen,
Jian Li,
Yuan Xie,
2009,
2009 IEEE 15th International Symposium on High Performance Computer Architecture.
Yiran Chen,
Yuan Xie,
Guangyu Sun,
2014
.
Yiran Chen,
Cheng-Kok Koh,
Hai Li,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Yiran Chen,
Hai Helen Li,
D. Dimitrov,
2008,
IEEE Transactions on Magnetics.
Cong Xu,
Yiran Chen,
Chunpeng Wu,
2017,
2017 IEEE International Conference on Computer Vision (ICCV).
Yiran Chen,
Qing Wu,
Chunpeng Wu,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Hai Li,
Chunpeng Wu,
2018,
Integr..
Yiran Chen,
Hai Helen Li,
Xiaobin Wang,
2010,
IEEE Electron Device Letters.
A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel
Yiran Chen,
Yu Wang,
Hai Li,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Chunpeng Wu,
Hai Li,
2017,
2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).
Yiran Chen,
Xuehai Qian,
Hai Li,
2017,
2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Yiran Chen,
Jun Yang,
Youtao Zhang,
2013,
ACM Trans. Design Autom. Electr. Syst..
Yiran Chen,
Xiaobin Wang,
Hai Li,
2016,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Yiran Chen,
Hai Helen Li,
Xiaobin Wang,
2010,
2nd Asia Symposium on Quality Electronic Design (ASQED).
Yiran Chen,
Hai Helen Li,
Xiaobin Wang,
2010,
IEEE Electron Device Letters.
Yiran Chen,
Rajiv V. Joshi,
Hai Li,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Zhaohao Wang,
Hai Li,
2017,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Xiaoxia Wu,
Yuan Xie,
2011,
IET Comput. Digit. Tech..
Yiran Chen,
Qing Wu,
Qinru Qiu,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yu Wang,
Yiran Chen,
Miao Hu,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiran Chen,
Hai Li,
Yiran Chen,
2011
.
Yiran Chen,
Yuan Xie,
Dimin Niu,
2010,
HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
Yiran Chen,
Xiaobin Wang,
Hai Li,
2008,
9th International Symposium on Quality Electronic Design (isqed 2008).
Yiran Chen,
Hai Li,
Robinson E. Pino,
2012,
DAC Design Automation Conference 2012.
Yiran Chen,
Xiaobin Wang,
Wei Tian,
2010,
2010 11th International Symposium on Quality Electronic Design (ISQED).
Security of neuromorphic computing: Thwarting learning attacks using memristor's obsolescence effect
Yiran Chen,
Jeyavijayan Rajendran,
Qing Wu,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Tao Zhang,
Jason Cong,
Yiran Chen,
2018,
2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Yiran Chen,
Weisheng Zhao,
Zheng Li,
2015,
2015 IEEE International Symposium on Circuits and Systems (ISCAS).
Yiran Chen,
Kaushik Roy,
Swarup Bhunia,
2003,
The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
Yiran Chen,
Tsung-Yi Ho,
Wei Wen,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Hai Li,
Ismail Bayram,
2017,
IEEE Design & Test.
Yiran Chen,
Bonan Yan,
Enes Eken,
2015,
SLIP.
Yiran Chen,
Haifeng Xu,
Alex K. Jones,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Hai Li,
Yaojun Zhang,
2011,
IEEE Transactions on Magnetics.
Yiran Chen,
Chenchen Liu,
Hai Li,
2019,
Handbook of Memristor Networks.
Yu Wang,
Yiran Chen,
Yuan Xie,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiran Chen,
Xiaobin Wang,
Hai Li,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Hai Li,
Huanrui Yang,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Xue Wang,
Xiao Sun,
2016,
2016 IEEE International Symposium on Circuits and Systems (ISCAS).
Yu Wang,
Yiran Chen,
Huazhong Yang,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Weisheng Zhao,
Yue Zhang,
2015,
IEEE Transactions on Electron Devices.
Yiran Chen,
H. Li,
Xuehai Qian,
2022
.
Yiran Chen,
Hai Helen Li,
Juncheng Shen,
2018
.
Yiran Chen,
H. Li,
2017
.
Yiran Chen,
Yiran Chen,
2015,
GLSVLSI 2015.
Yiran Chen,
Yiran Chen,
2015,
GLSVLSI 2015.
Yiran Chen,
Ximing Qiao,
Chaofei Yang,
2019,
IPSJ Trans. Syst. LSI Des. Methodol..
Yiran Chen,
Hai Li,
Chaofei Yang,
2017
.
Yiran Chen,
H. Li,
Meng Li,
2022,
AutoML.
Matthew J. Inkawhich,
Yiran Chen,
H. Li,
2022,
2208.11050.
Yiran Chen,
H. Li,
Amin Hassanzadeh,
2022,
ArXiv.
Yiran Chen,
Beiye Liu,
H. Li,
2015,
Proceedings of the 52nd Annual Design Automation Conference.
Yiran Chen,
H. Li,
Nathan Inkawhich,
2022,
ArXiv.
W. Wen,
Yiran Chen,
H. Li,
2022,
ArXiv.
Yiran Chen,
H. Li,
Ang Li,
2022,
ArXiv.
Yiran Chen,
Hai Helen Li,
J. Yang,
2018,
Applied Physics A.
Yiran Chen,
H. Li,
Chang Chen,
2022,
ArXiv.
Yiran Chen,
Zhi-Hong Mao,
Douglas J. Weber,
2016,
2016 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).
Yiran Chen,
Xuehai Qian,
Hai Li,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Yu Wang,
Yiran Chen,
Huazhong Yang,
2015,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yu Wang,
Yiran Chen,
Qinru Qiu,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yu Wang,
Yiran Chen,
Huazhong Yang,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Yiran Chen,
Qing Wu,
Garrett S. Rose,
2014,
IEEE Transactions on Neural Networks and Learning Systems.
Bing Li,
Hai Li,
Xuyang Guo,
2019,
2019 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS).
Yiran Chen,
Feng Yan,
Hai Li,
2018,
ArXiv.
Yiran Chen,
Hai Helen Li,
Zheng Gao,
2010,
IEEE Transactions on Magnetics.
Yiran Chen,
Qing Wu,
Hai Li,
2017,
ArXiv.
Xuehai Qian,
Yiran Chen,
Linghao Song,
2020,
2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Xuehai Qian,
Shiyu Li,
Hai Li,
2021,
MICRO.
Yiran Chen,
Ru Huang,
Guangyu Sun,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Yiran Chen,
Fan Chen,
Linghao Song,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Hai Li,
Yiran Chen,
Jiachen Mao,
2019,
ASP-DAC.
Xuehai Qian,
Hai Li,
Yiran Chen,
2019,
2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).
ReBNN: in-situ acceleration of binarized neural networks in ReRAM using complementary resistive cell
Yiran Chen,
You Wu,
Xuehai Qian,
2019,
CCF Transactions on High Performance Computing.
Yiran Chen,
Linghao Song,
Fan Chen,
2020
.
Yiran Chen,
Xuehai Qian,
Hai Li,
2017,
2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Yiran Chen,
Hai Li,
Chunpeng Wu,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Chenchen Liu,
Yiran Chen,
Hai Li,
2016,
2016 IEEE 34th International Conference on Computer Design (ICCD).
Meng-Fan Chang,
Yiran Chen,
Cheng-Xin Xue,
2019,
Adv. Intell. Syst..
Yiran Chen,
H. Li,
Meng Pang,
2020,
ArXiv.
Yiran Chen,
Pradeep Dubey,
Hai Li,
2016,
ArXiv.
Yiran Chen,
Hai Helen Li,
Bonan Yan,
2018,
Science China Information Sciences.
Yiran Chen,
Fan Chen,
Weisheng Zhao,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Jiachen Mao,
Christopher D. Krieger,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Rong Luo,
Yu Wang,
Yiran Chen,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
FL-WBC: Enhancing Robustness against Model Poisoning Attacks in Federated Learning from a Client Perspective
pdf
Amin Hassanzadeh,
Hai Li,
Louis DiValentin,
2021,
NeurIPS.
Yiran Chen,
Yu Wang,
Xuefei Ning,
2021,
ArXiv.
Ang Li,
Binghui Wang,
Hai Li,
2020,
2022 IEEE International Conference on Data Mining (ICDM).
Yiran Chen,
Hai Li,
Xuehai Qian,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Xin Liu,
Yiran Chen,
Hai Li,
2018,
SafeAI@AAAI.
Hai Li,
Yiran Chen,
Nathan Inkawhich,
2019,
2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).
Yiran Chen,
H. Li,
Mingyuan Ma,
2022,
2023 IEEE/CVF Winter Conference on Applications of Computer Vision (WACV).
Hanxiao Liu,
Wei Wen,
Yiran Chen,
2019,
ECCV.
Lei Ding,
Yiran Chen,
Hai Li,
2020,
2021 International Joint Conference on Neural Networks (IJCNN).
Yiran Chen,
Huanrui Yang,
Hai Li,
2021,
ICLR.
Yiran Chen,
Linghao Song,
Fan Chen,
2020,
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Qiang Zhou,
Zhaohao Wang,
2018,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hai Li,
Yiran Chen,
Huanrui Yang,
2021,
ACM Trans. Cyber Phys. Syst..
Shuai Zhang,
Weiyao Lin,
Hongkai Xiong,
2020,
IJCAI.
Yiran Chen,
Hai Li,
Bing Li,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Hai Li,
Nathan Inkawhich,
Yiran Chen,
2021,
ArXiv.
Yiran Chen,
Meng-Fan Chang,
Wei-Hao Chen,
2019,
2019 Symposium on VLSI Technology.
Yiran Chen,
Hai Li,
Zheng Li,
2016,
2016 16th Non-Volatile Memory Technology Symposium (NVMTS).
Yiran Chen,
Zhi-Hong Mao,
Xiang Chen,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Wenqing Wu,
Hai Li,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Perturbing Across the Feature Hierarchy to Improve Standard and Strict Blackbox Attack Transferability
pdf
Lawrence Carin,
Binghui Wang,
Yiran Chen,
2020,
NeurIPS.
Ang Li,
Hai Li,
Yiran Chen,
2021,
ArXiv.
Yiran Chen,
Zhi-Hong Mao,
Mingui Sun,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Jingtong Hu,
Hai Li,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Ru Huang,
Chia-Lin Yang,
Yiran Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Yiran Chen,
Chun Jason Xue,
Mengying Zhao,
2013,
2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Yiran Chen,
Chun Jason Xue,
Jian Zheng,
2012,
DAC Design Automation Conference 2012.
Wei Zhang,
Yiran Chen,
Hai Li,
2012,
17th Asia and South Pacific Design Automation Conference.
Yiran Chen,
Tao Li,
Zili Shao,
2012,
2012 IEEE Computer Society Annual Symposium on VLSI.
Yiran Chen,
Jianhua Yang,
Guangyu Sun,
2011,
2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Yiran Chen,
Wujie Wen,
Yaojun Zhang,
2012,
IEEE Transactions on Magnetics.
Yiran Chen,
Xiaobin Wang,
Tong Zhang,
2009,
2009 IEEE Computer Society Annual Symposium on VLSI.
Yiran Chen,
Weisheng Zhao,
Jianlei Yang,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiran Chen,
Hai Li,
Chunpeng Wu,
2016,
IET Cyper-Phys. Syst.: Theory & Appl..
Yiran Chen,
Xiang Chen,
Kent W. Nixon,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Hai Li,
Amr M. Hassan,
2018,
2018 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).
Yiran Chen,
Qing Wu,
Jianhua Yang,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Hao Jiang,
Yiran Chen,
Chenchen Liu,
2015,
2015 IEEE Symposium on Computational Intelligence for Security and Defense Applications (CISDA).
Yiran Chen,
Shukai Duan,
Gang Feng,
2014,
2014 International Joint Conference on Neural Networks (IJCNN).
Yiran Chen,
Qing Wu,
Qinru Qiu,
2014,
2014 IEEE International Symposium on Circuits and Systems (ISCAS).
Yiran Chen,
Chenchen Liu,
Hai Li,
2017,
IEEE Consumer Electronics Magazine.
Yiran Chen,
Peng Li,
Guangyu Sun,
2016,
IEEE Transactions on Computers.
Yiran Chen,
Jun Yang,
Youtao Zhang,
2011,
2011 International Conference on Parallel Architectures and Compilation Techniques.
Yiran Chen,
Xiaoxia Wu,
Yuan Xie,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Yiran Chen,
Kiyoung Choi,
Weisheng Zhao,
2015,
IEEE Transactions on Multi-Scale Computing Systems.
Yiran Chen,
H. Li,
Shiyu Li,
2020,
ICML.
Area and performance co-optimization for domain wall memory in application-specific embedded systems
Yiran Chen,
Edwin Hsing-Mean Sha,
Shouzhen Gu,
2015,
DAC.
Yiran Chen,
Xin Li,
Tsung-Yi Ho,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Zhan Ma,
Xiang Chen,
2013,
HotMobile '13.
Yiran Chen,
Hai Helen Li,
Jingyang Zhang,
2022,
2022 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Hao Jiang,
Yiran Chen,
Qing Yang,
2018,
2018 IEEE International Symposium on Circuits and Systems (ISCAS).
Yiran Chen,
Hai Li,
Nathan Inkawhich,
2018,
ArXiv.
Ang Li,
Feng Yan,
Huanrui Yang,
2020,
2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Manisha Mishra,
David Sidoti,
Yiran Chen,
2015,
IEEE Symposium on Computational Intelligence for Security and Defense Applications.
Yiran Chen,
Jingtong Hu,
Danghui Wang,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiran Chen,
Jun Yang,
Youtao Zhang,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yu Wang,
Guohao Dai,
Fan Chen,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Yiran Chen,
Krishnendu Chakrabarty,
Hai Li,
2019,
2019 IEEE International Electron Devices Meeting (IEDM).
Yiran Chen,
Xiaobin Wang,
Hai Li,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yiran Chen,
H. Li,
Meng Pang,
2020,
2021 IEEE 23rd Int Conf on High Performance Computing & Communications; 7th Int Conf on Data Science & Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application (HPCC/DSS/SmartCity/DependSys).
Yukun Yang,
Feng Yan,
Yiran Chen,
2019,
2019 IEEE/CVF International Conference on Computer Vision Workshop (ICCVW).
Meng Li,
Shiyu Li,
Vikas Chandra,
2020,
AAAI.
Yiran Chen,
Jiawen Li,
Li Jiang,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Yiran Chen,
H. Li,
Xuehai Qian,
2020,
ArXiv.
Yiran Chen,
Linghao Song,
Fan Chen,
2020,
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
H. Li,
Nathan Inkawhich,
2021,
2023 IEEE/CVF Winter Conference on Applications of Computer Vision (WACV).
Lawrence Carin,
Yiran Chen,
Kevin J Liang,
2020,
ICLR.
Matthew J. Inkawhich,
Yiran Chen,
H. Li,
2022,
ArXiv.
Yuhui Xu,
Weiyao Lin,
Hongkai Xiong,
2018,
2019 Fifth Workshop on Energy Efficient Machine Learning and Cognitive Computing - NeurIPS Edition (EMC2-NIPS).
Yiran Chen,
Alex K. Jones,
Donald Kline,
2016,
2016 Seventh International Green and Sustainable Computing Conference (IGSC).
Yiran Chen,
Xian Zhang,
Yun Liang,
2015,
2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Yiran Chen,
H. Li,
Yu Wang,
2021,
2022 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).
Yiran Chen,
H. Li,
Shiyu Li,
2022,
International Symposium on Computer Architecture.
Yiran Chen,
Yunxin Liu,
Xiang Chen,
2014,
HotPower.
Yiran Chen,
Hai Li,
Li Jiang,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yiran Chen,
Hai Li,
Amr M. Hassan,
2017,
2017 International Joint Conference on Neural Networks (IJCNN).
Yiran Chen,
Xiang Chen,
Kent W. Nixon,
2016,
2016 29th IEEE International System-on-Chip Conference (SOCC).
Yiran Chen,
Jiachen Mao,
Xiang Chen,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Tingwen Huang,
Xin Li,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Tingwen Huang,
Xin Li,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Chun Jason Xue,
Xiang Chen,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Yunxin Liu,
Xiang Chen,
2014,
HotPower.
Hai Li,
Yi-Chung Chen,
Yiran Chen,
2017
.
Hao Jiang,
Yiran Chen,
Chenchen Liu,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Hai Helen Li,
Yuchao Yang,
2022,
2022 IEEE International Solid- State Circuits Conference (ISSCC).
Yiran Chen,
Jingtong Hu,
Danghui Wang,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Xiaoxiao Liu,
Mengjie Mao,
Hai Li,
2021,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Yiran Chen,
Hai Li,
Xiaoxiao Liu,
2015,
The 20th Asia and South Pacific Design Automation Conference.
Chunpeng Wu,
Wei Wen,
Yiran Chen,
2019,
2020 International Joint Conference on Neural Networks (IJCNN).
Yiran Chen,
Jingtong Hu,
Chengmo Yang,
2014,
2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Yiran Chen,
Qing Wu,
Chunpeng Wu,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
SwiftNet: Using Graph Propagation as Meta-knowledge to Search Highly Representative Neural Architectures
pdf
Feng Yan,
Shiyu Li,
Yiran Chen,
2019,
ArXiv.
Hao Jiang,
Yu Wang,
Yiran Chen,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Rong Luo,
Yu Wang,
Yiran Chen,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yiran Chen,
Qing Wu,
Garrett S. Rose,
2013,
2013 IEEE Symposium on Computational Intelligence for Security and Defense Applications (CISDA).
Yiran Chen,
Hai Li,
Xiaoxuan Yang,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Yiran Chen,
Hai Li,
Bonan Yan,
2020,
2020 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).
Yiran Chen,
Jonathan Huang,
Jingchi Zhang,
2019,
ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Yiran Chen,
Fan Chen,
Linghao Song,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Hao Jiang,
Yu Wang,
Yiran Chen,
2016,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Yu Wang,
Yiran Chen,
Huazhong Yang,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Wei Zhang,
Yiran Chen,
Tingwen Huang,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Yiran Chen,
Hai Li,
Miao Hu,
2012,
The 2012 International Joint Conference on Neural Networks (IJCNN).
Yiran Chen,
Hai Li,
Yaojun Zhang,
2011,
IEEE Transactions on Magnetics.
Yiran Chen,
Juncheng Shen,
Juzheng Liu,
2019,
ArXiv.
Yiran Chen,
Xiaobin Wang,
Yaojun Zhang,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Xiaobin Wang,
Hai Li,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Wang,
Ang Li,
Yiran Chen,
2021,
EWSN.
Yiran Chen,
Yiran Chen,
2019,
IEEE Micro.
Yiran Chen,
Cheng-Kok Koh,
Weng-Fai Wong,
2009,
TACO.
Yiran Chen,
Jie Guo,
Zhenyu Sun,
2014
.
Feng Yan,
Hai Li,
Yiran Chen,
2020,
ArXiv.
Feng Yan,
Yiran Chen,
Hsin-Pai Cheng,
2019,
AAAI.
W. Wen,
Yiran Chen,
H. Li,
2022,
WWW.
Wenhan Wang,
Hai Li,
Huanrui Yang,
2018,
SafeAI@AAAI.
Feng Yan,
Shiyu Li,
Yiran Chen,
2019,
CLOUD.
Hai Li,
Xiaobin Wang,
Yiran Chen,
2010,
2010 International Conference on Communications, Circuits and Systems (ICCCAS).
Hai Li,
Nathan Inkawhich,
Matthew Inkawhich,
2021,
2022 IEEE/CVF Winter Conference on Applications of Computer Vision (WACV).
Yiran Chen,
Yixuan Li,
H. Li,
2023,
ArXiv.
Yiran Chen,
Wei Xu,
Xiaobin Wang,
2012,
IEEE Journal of Solid-State Circuits.
Wei Zhang,
Yiran Chen,
Rajiv V. Joshi,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yiran Chen,
Ismail Bayram,
Yiran Chen,
2014,
2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).
Cong Xu,
Feng Yan,
Hai Li,
2018,
ArXiv.
ADAMS: Asymmetric differential STT-RAM cell structure for reliable and high-performance applications
Yu Wang,
Yiran Chen,
Hai Li,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Hao Jiang,
Yiran Chen,
Qing Wu,
2014,
2014 IEEE High Performance Extreme Computing Conference (HPEC).
Yiran Chen,
Jie Guo,
Tao Cai,
2016,
2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Yiran Chen,
Hai Li,
Jie Guo,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
W. Wen,
Yiran Chen,
Hai Helen Li,
2019,
Computer Vision and Pattern Recognition.
Kevin J Liang,
Yiran Chen,
H. Li,
2021,
2021 IEEE/CVF International Conference on Computer Vision Workshops (ICCVW).
Yiran Chen,
Uttam Majumder,
Nathan Inkawhich,
2020,
2020 IEEE International Radar Conference (RADAR).
Yiran Chen,
Hai Li,
Matthew Inkawhich,
2019,
AAMAS.
Hai Li,
Yiran Chen,
Eric C. Yeats,
2021,
ICML.
Matthew J. Inkawhich,
Yiran Chen,
H. Li,
2022,
2024 IEEE/CVF Winter Conference on Applications of Computer Vision (WACV).
Yiran Chen,
Danghui Wang,
He-peng Liu,
2015,
Journal of Central South University.
Chunpeng Wu,
Wei Wen,
Yiran Chen,
2018,
1805.07898.
Yiran Chen,
Linghao Song,
Jingchi Zhang,
2019,
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Eric K. Davis,
Yiran Chen,
Jingyang Zhang,
2022,
IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing.
W. Wen,
Yiran Chen,
Hanxiao Liu,
2019,
European Conference on Computer Vision.