A 130 nm 1.2 V/3.3 V 16 Kb Spin-Transfer Torque Random Access Memory With Nondestructive Self-Reference Sensing Scheme

Among all the emerging memories, Spin-Transfer Torque Random Access Memory (STT-RAM) has demonstrated many promising features such as fast access speed, nonvolatility, excellent scalability, and compatibility to CMOS process. However, the large process variations of both magnetic tunneling junction (MTJ) and MOS transistors in the scaled technologies severely limit the yield of STT-RAM chips. In this work, we proposed a new sensing scheme, named as nondestructive self-reference sensing, or NSRS, for STT-RAM. By leveraging the different dependencies of the high and low resistance states of MTJs on the cell current amplitude, the proposed NSRS technique can work well at the scenario when bit-to-bit variation of MTJ resistances is large. Furthermore, we proposed three combined magnetic- and circuit-level techniques, including R-I curve skewing, yield-driven cell current selection, and ratio matching, to further improve the sense margin and robustness of NSRS sensing scheme. The measurement results of a 16 Kb STT-RAM test chip show that our proposed nondestructive self-reference sensing technique can reliably readout all the measured memory bits, of which 10% read failure rate was observed by using the conventional sensing technique. The three enhancement technologies ensure a 20 mV minimum sense margin and the whole sensing process can complete within 15 ns.

[1]  Byung-Jun Min,et al.  A 0.25 /spl mu/m 3.0 V 1T1C 32 Mb nonvolatile ferroelectric RAM with address transition detector (ATD) and current forcing latch sense amplifier (CFLSA) scheme , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[2]  Kunle Olukotun,et al.  The case for a single-chip multiprocessor , 1996, ASPLOS VII.

[3]  N. Sakimura,et al.  MRAM Cell Technology for Over 500-MHz SoC , 2007, IEEE Journal of Solid-State Circuits.

[4]  Yiran Chen,et al.  Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM) , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[5]  Yiran Chen,et al.  Variation tolerant sensing scheme of Spin-Transfer Torque Memory for yield improvement , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Yiran Chen,et al.  An overview of non-volatile memory technology and the implication for tools and architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[7]  Hiroshi Kano,et al.  Thermal activation effect on spin transfer switching in magnetic tunnel junctions , 2005 .

[8]  Saied N. Tehrani,et al.  Recent developments in magnetic tunnel junction MRAM , 2000 .

[9]  J. Katine,et al.  Time-resolved reversal of spin-transfer switching in a nanomagnet. , 2004, Physical review letters.

[10]  S. Mukhopadhyay,et al.  Hybrid CMOS-STTRAM non-volatile FPGA: Design challenges and optimization approaches , 2008, IEEE/ACM International Conference on Computer-Aided Design.

[11]  Andrea L. Lacaita,et al.  Variability effects on the VT distribution of nanoscale NAND Flash memories , 2010, 2010 IEEE International Reliability Physics Symposium.

[12]  William Song,et al.  Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[13]  S.O. Park,et al.  MRAM with novel shaped cell using synthetic anti-ferromagnetic free layer , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[14]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of Spin-Torque Transfer Magnetic Random Access Memory (STT MRAM) array for yield enhancement , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[15]  Y. Hwang,et al.  A 0.24-μm 2.0-V 1T1MTJ 16-kb nonvolatile magnetoresistance RAM with self-reference sensing scheme , 2003, IEEE J. Solid State Circuits.

[16]  Kinam Kim,et al.  Memory Technologies for sub-40nm Node , 2007, 2007 IEEE International Electron Devices Meeting.

[17]  Y. G. Shin,et al.  On-axis scheme and novel MTJ structure for sub-30nm Gb density STT-MRAM , 2010, 2010 International Electron Devices Meeting.

[18]  J. Otani,et al.  A high-density and high-speed 1T-4MTJ MRAM with Voltage Offset Self-Reference Sensing Scheme , 2006, 2006 IEEE Asian Solid-State Circuits Conference.

[19]  Kuo-Pin Chang,et al.  Effect of junction engineering for 38nm BE-SONOS charge-trapping , 2011, Proceedings of 2011 International Symposium on VLSI Technology, Systems and Applications.

[20]  K. Ono,et al.  A disturbance-free read scheme and a compact stochastic-spin-dynamics-based MTJ circuit model for Gb-scale SPRAM , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[21]  M. Hosomi,et al.  A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[22]  M. Aoki,et al.  A novel voltage sensing 1T/2MTJ cell with resistance ratio for highly stable and scalable MRAM , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..