Yu Cao
发表
B. Cline,
K. Chopra,
D. Blaauw,
2006,
ICCAD '06.
Yu Cao,
Jianfeng Lu,
Lihan Wang,
2019,
1908.04746.
Yu Cao,
Ting Yang,
Yuling Liu,
2018,
Secur. Commun. Networks.
Yu Cao,
Bo Pang,
Xin Liu,
2017
.
Yu Cao,
Lawrence T. Clark,
Yu Cao,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Yu Cao,
Wei Zhao,
Yu Cao,
2006,
IEEE Transactions on Electron Devices.
Yu Cao,
Takashi Sato,
Ketul Sutaria,
2012,
DAC Design Automation Conference 2012.
Yu Cao,
Wei Zhao,
Yu Cao,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Costas J. Spanos,
Jan M. Rabaey,
Yu Cao,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Yu Cao,
Takashi Sato,
Hiromitsu Awano,
2012,
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.
Yu Cao,
J. Velamala,
K. Sutaria,
2012,
2012 IEEE International Reliability Physics Symposium (IRPS).
Yu Cao,
Wenping Wang,
V. Reddy,
2007,
IEEE Transactions on Device and Materials Reliability.
Yu Cao,
Xiaoming Fu,
Mingwei Xu,
2012,
2012 20th IEEE International Conference on Network Protocols (ICNP).
Stephen P. Boyd,
Yu Cao,
Robert W. Dutton,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Yu Cao,
Chen-Yuan Chen,
Meng-Lung Lin,
2009
.
Yu Cao,
Chen Cao,
Yiyu Feng,
2018,
Chemical Engineering Journal.
Yu Cao,
P. Dapkus,
Chongwu Zhou,
2014,
Nano letters.
Yu Cao,
Jun Zou,
R. Xiang,
2015,
Methods.
Yu Cao,
Zuo-Kun Shi,
Shijie Wang,
2019,
3 Biotech.
Yu Cao,
Yuanqing Ye,
Harshad Mahadeshwar,
2014,
Science.
Yu Cao,
L. Nyborg,
C. Oikonomou,
2017
.
Yu Cao,
Wallapak Tavanapong,
Johnny Wong,
2007
.
Yu Cao,
Xiaojing Li,
Tian Liang,
2018,
International Journal of Hydrogen Energy.
Yu Cao,
Nalong Tom Mekdara,
J. J. Goto,
2012,
Journal of experimental zoology. Part A, Ecological genetics and physiology.
Yu Cao,
R. Chu,
Ray Li,
2016,
IEEE Electron Device Letters.
Yu Cao,
Chaohui He,
W. Khan,
2019,
Radiation Effects and Defects in Solids.
Yu Cao,
C. Kim,
Takashi Sato,
2014,
IEEE Transactions on Device and Materials Reliability.
A. Balijepalli,
Yu Cao,
S. Sinha,
2009,
IEEE Transactions on Electron Devices.
Shimeng Yu,
Lixue Xia,
Yu Cao,
2016,
Journal of Computer Science and Technology.
Yu Cao,
Bei Shi,
Wenjuan Wei,
2019,
Regenerative medicine.
Serum and Urine Metabolite Profiling Reveals Potential Biomarkers of Human Hepatocellular Carcinoma*
Ping Liu,
Yu Cao,
Y. Yen,
2011,
Molecular & Cellular Proteomics.
C. Ribelayga,
S. Mangel,
Yu Cao,
2008,
Neuron.
Shimeng Yu,
Jae-sun Seo,
Yu Cao,
2016,
2016 IEEE International Nanoelectronics Conference (INEC).
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2017
.
Yu Cao,
Yinji Ma,
Yihao Chen,
2019,
Advanced materials.
C. Hu,
Yu Cao,
A. Niknejad,
2003
.
Yu Cao,
Xiaoyan Zeng,
M. Gao,
2011
.
Yu Cao,
Xuanzhe Zhang,
Zejin Liu,
2015,
Applied optics.
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yu Cao,
Peng Wang,
Xue Feng,
2019,
Science Advances.
Yu Cao,
Weiping Jia,
Congrong Wang,
2013,
Evidence-based complementary and alternative medicine : eCAM.
Yu Cao,
Zongyang Hu,
Tian Fang,
2011,
IEEE Electron Device Letters.
Yu Cao,
Wei Tang,
Yi Liu,
2020,
ACM Cloud and Autonomic Computing Conference.
Yu Cao,
Shuti Li,
Q. Liu,
2022,
Organic Electronics.
Yu Cao,
Xiaodong Yang,
Guanqun Zhou,
2020,
Journal of Geophysics and Engineering.
Weiguang Wang,
Yu Cao,
Wei Zhang,
2017
.
Yu Cao,
Lei Wan,
Hongde Qin,
2022,
ISA transactions.
Yu Cao,
M. Long,
Jianbin He,
2016,
Nutrients.
Yu Cao,
H. Zhang,
Yu Liu,
2016
.
Yu Cao,
A. Verma,
Ronghua Wang,
2013,
IEEE Electron Device Letters.
Yu Cao,
G. Snider,
D. Jena,
2011
.
A. Uedono,
Yu Cao,
T. Palacios,
2014,
2014 International Workshop on Junction Technology (IWJT).
A. Uedono,
Yu Cao,
T. Palacios,
2014
.
Yu Cao,
Stephen J. Pearton,
N. B. Smirnov,
2012
.
Yu Cao,
J. Kim,
F. Ren,
2012
.
Yu Cao,
F. Ren,
S. Pearton,
2012
.
Yu Cao,
Jinhyun Kim,
F. Ren,
2011
.
Yu Cao,
Ivan I. Kravchenko,
Stephen J. Pearton,
2011
.
Yu Cao,
J. Kim,
F. Ren,
2011
.
Yu Cao,
Ivan I. Kravchenko,
Stephen J. Pearton,
2011
.
Yu Cao,
Bo Zhang,
Zheng-Bing Guan,
2012,
International journal of food microbiology.
Yu Cao,
N. Armstrong,
D. Placencia,
2015,
ACS applied materials & interfaces.
Yu Cao,
Q. Shi,
Q. Ma,
2013,
Pharmaceutical Biology.
Yu Cao,
Kan Yang,
Li-ping Peng,
2018,
Medicine.
Yu Cao,
Zhi Wan,
Shu Zhang,
2014,
The American journal of emergency medicine.
Yu Cao,
Ya-rong He,
Hai Hu,
2014,
The American journal of emergency medicine.
Yu Cao,
Xianyan Jiang,
Yang Chen,
2019
.
Lihong Hu,
Yu Cao,
Yefeng Tang,
2014,
Angewandte Chemie.
Yu Cao,
Chi-Chao Wang,
Wei Zhao,
2009,
2009 International Conference on Simulation of Semiconductor Processes and Devices.
Yu Cao,
F. Long,
A. Zhu,
2020,
Microchimica Acta.
Yu Cao,
Yu Wang,
Hang Su,
2013
.
Yu Cao,
Yanchao Shi,
Bi-tao Hu,
2013,
Optics express.
Yu Cao,
Yan Ni,
Wei Jia,
2010,
Journal of proteome research.
Zhongjie Li,
Yu Cao,
E. Lau,
2014,
Emerging infectious diseases.
Yu Cao,
Jun Xu,
Yong Zhang,
2018,
Int. J. Circuit Theory Appl..
Yu Cao,
Gong Xiang,
Xiaochuan Yu,
2018
.
Yu Cao,
Hui Xu,
D. Qiao,
2010,
Current Microbiology.
Yu Cao,
Ying Liu,
Shuxi Gong,
2013
.
Yu Cao,
S. Gong,
Y. Liu,
2013
.
Yu Cao,
Shutao Wang,
Xueji Zhang,
2018,
ACS applied materials & interfaces.
Yu Cao,
L. Nyborg,
P. Tam,
2011
.
Yu Cao,
Q. Peng,
K. Berg,
2015,
Oncotarget.
Yu Cao,
Chaohui He,
Qingmin Zhang,
2019,
Nuclear Science and Techniques.
Yu Cao,
F. Liu,
Ling Zhang,
2012,
Chinese medical journal.
Yu Cao,
Chuanzong Li,
Jinqiang Gao,
2019,
Journal of Manufacturing Processes.
Yu Cao,
Shufeng Zhou,
Haifeng Dong,
2015,
Analytical chemistry.
Yu Cao,
Rui Li,
Xinran Zheng,
2019,
Acta Mechanica.
Yu Cao,
T. Dong,
Cai-Zhong Jiang,
2015
.
Y. Zhang,
Yu Cao,
Lingqiang Zhang,
2016,
Oncotarget.
Yu Cao,
Q. Xiao,
Hua-ming Li,
2012
.
Yu Cao,
Zhenhua Hu,
Jie Tian,
2019,
Journal of biophotonics.
W. Chan,
Yu Cao,
S. Blostein,
2012,
2012 26th Biennial Symposium on Communications (QBSC).
Yu Cao,
Xin Li,
2008,
ISQED 2008.
Yu Cao,
K. Ma,
K. Zou,
2016,
Journal of forensic and legal medicine.
Yu Cao,
Z. Fan,
Yangyang Cao,
2020,
Journal of cellular physiology.
A. Newton,
Yu Cao,
Zile Wei,
2004,
Proceedings of the 17th International Conference on Pattern Recognition, 2004. ICPR 2004..
Yu Cao,
Xinpeng Han,
Yiming Zhang,
2019,
Energy Storage Materials.
Yu Cao,
T. Sandoval,
P. Rodriguez,
2019,
Cell Death Discovery.
Yu Cao,
L. Mei,
W. Xiong,
2020,
PLoS biology.
Yu Cao,
Shutao Wang,
Xueji Zhang,
2019,
Nanoscale.
Yu Cao,
Tao Cheng,
Jun-zhao Liu,
2019,
The American journal of emergency medicine.
Yu Cao,
Qibin Li,
Xiaoxiao Xu,
2017
.
S. Mangel,
Yu Cao,
A. Chaffiol,
2017,
Current Biology.
Yu Cao,
R. Wallace,
D. Jena,
2012
.
Yu Cao,
D. Jena,
H. Xing,
2016,
IEEE Electron Device Letters.
Yu Cao,
Zhen Chen,
2020,
Discrete Dynamics in Nature and Society.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2017
.
Yu Cao,
M. Chan,
Jin He,
2012
.
Yu Cao,
Wenping Wang,
Srikanth Krishnan,
2007,
2007 IEEE Custom Integrated Circuits Conference.
Yu Cao,
Yi Shen,
D. Cao,
2016,
International journal of cancer.
Yu Cao,
Sanqing Hu,
W. Kong,
2011,
International Conference on Information Science and Technology.
Yu Cao,
P. Rodriguez,
Eslam Mohamed,
2017,
Cancer Immunology, Immunotherapy.
E. S. Kim,
Yu Cao,
E. Kim,
2016,
ACS nano.
Yu Cao,
Xuyu Zu,
F. Chung,
2017,
Molecular carcinogenesis.
Yu Cao,
Yi Shen,
D. Cao,
2016,
Cancer letters.
Yu Cao,
G. Gopinath,
J. Gangiredla,
2015,
Applied and Environmental Microbiology.
Yu Cao,
Duanjin Zhang,
Jie Gao,
2017,
2017 29th Chinese Control And Decision Conference (CCDC).
Yu Cao,
Duanjin Zhang,
Minglei Gao,
2016,
2016 Chinese Control and Decision Conference (CCDC).
Yu Cao,
Shu Zhang,
Dan Zhu,
2013,
The American journal of emergency medicine.
Yu Cao,
Jianguo Liu,
Xiangyou Li,
2010
.
Yu Cao,
Lijun Zhao,
2019,
Front. Immunol..
Yu Cao,
A. Klein-Szanto,
K. Devarajan,
2013,
Breast Cancer Research and Treatment.
Yu Cao,
Hongyu Jin,
B. Xiao,
2018,
The American journal of case reports.
Yu Cao,
Y. Ahn,
A. Khan,
2022,
Nanomaterials.
Yu Cao,
Cai-Zhong Jiang,
Qingguo Wang,
2015,
Food chemistry.
N. B. Smirnov,
V. S. Ermakov,
R. V. Ryzhuk,
2013
.
Yu Cao,
Dehua Zhu,
Wenwen Liu,
2017
.
Yu Cao,
Saurabh Sinha,
Asha Balijepalli,
2008,
9th International Symposium on Quality Electronic Design (isqed 2008).
Yu Cao,
S. Fan,
Li Dong,
2017
.
Yu Cao,
M. Norell,
K. Hellström,
2014
.
Yu Cao,
Aditya Khosla,
Hsu-Kuang Chiu,
2009
.
Yu Cao,
Haifeng Wang,
Yunpeng Cao,
2019,
BMC Oral Health.
Yu Cao,
Liping Wang,
S. Diao,
2016,
Cell proliferation.
Yu Cao,
Yugui Yang,
Dayu Ye,
2022,
Chemical Engineering Journal.
Yu Cao,
N. Wang,
Wei Huang,
2017
.
Yu Cao,
Xianbao Wang,
J. Ni,
2011
.
Yu Cao,
Hua-ming Li,
Yong Gao,
2011
.
Matthew S. Tremblay,
P. Schultz,
Yu Cao,
2015,
Bioconjugate chemistry.
Yu Cao,
Liping Yang,
Q. Wang,
2019,
bioRxiv.
Yu Cao,
Q. Wang,
Liping Yang,
2019,
bioRxiv.
Yu Cao,
Jiawei Wu,
F. He,
2014,
Nature Communications.
Wei Xu,
Yu Cao,
Saurabh Sinha,
2009,
2009 IEEE International Conference on Computer Design.
Yu Cao,
Dan Su,
Hua Li,
2022,
Sustainability.
Yu Cao,
S. Sen,
I. Wistuba,
2013,
Cancer discovery.
Yang Wang,
Quan Yu,
Borui Ye,
2021,
ECML/PKDD.
Lan Tao,
Yu Cao,
Kan Wu,
2020
.
Yu Cao,
H. Duan,
N. Zhang,
2016,
Medicine.
Yu Cao,
Ronghua Chen,
Jianhui Xie,
2016,
Scientific Reports.
Yu Cao,
Wei Huang,
Jianpu Wang,
2018,
npj Flexible Electronics.
Yu Cao,
Wei Huang,
Yao Yin,
2017,
Advanced materials.
Yu Cao,
Hongwen Zhang,
Yan Jiang,
2018
.
Yu Cao,
Bing Zhou,
P. Zhu,
2017,
Scientific Reports.
Yu Cao,
Shih-Hsi Liu,
Ming Li,
2009
.
Yu Cao,
Z. Zeng,
Dongze Li,
2017,
Clinica chimica acta; international journal of clinical chemistry.
Yu Cao,
Xin Liu,
Wei Zhang,
2017,
Clinica chimica acta; international journal of clinical chemistry.
Yu Cao,
Z. Zeng,
Dongze Li,
2017,
Oncotarget.
Yu Cao,
Zicheng Hu,
Lihong Jiang,
2019,
Cardiovascular Diabetology.
Yu Cao,
Fengzhi Wang,
Yanjie Liu,
2022,
Behavioural neurology.
Yu Cao,
D. Fan,
W. Xue,
2019,
Applied Surface Science.
Yu Cao,
Haodong Liu,
Diqiang Li,
2021,
Science of the Total Environment.
Yu Cao,
D. Jena,
H. Xing,
2011
.
Yu Cao,
D. Jena,
H. Xing,
2010
.
Yu Cao,
E. Beam,
D. Jena,
2012
.
Yu Cao,
D. Jena,
H. Xing,
2007
.
Yu Cao,
Le-Xin Wang,
Ping Shi,
2020,
Advances in Therapy.
Yu Cao,
D. Du,
Hong-xia Gao,
2014
.
Sumit K. Mandal,
Yu Cao,
U. Ogras,
2022,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Yu Cao,
Hongyan Liu,
F. Wang,
2003,
Journal of pharmacological sciences.
Yu Cao,
Yaming Cao,
Cheng Li,
2020,
Journal of cellular and molecular medicine.
Yu Cao,
Xinzi Sun,
Dechun Wang,
2022,
IEEE Journal of Biomedical and Health Informatics.
Yu Cao,
Yan Luo,
Zinan Xiong,
2022,
ArXiv.
Yu Cao,
D. Gilvary,
Sheng Wei,
2019,
Cancer research.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018,
Chemical science.
Yu Cao,
Feng Gao,
Wei Huang,
2018,
The journal of physical chemistry letters.
Yu Cao,
Wei Huang,
Jianpu Wang,
2019,
The journal of physical chemistry letters.
Qiang Guo,
Yu Cao,
Wei Huang,
2018,
Nature Communications.
Yu Cao,
C. McNeill,
Feng Gao,
2018,
Advanced materials.
Yu Cao,
Wei Huang,
Jianpu Wang,
2018,
Applied Physics Letters.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018,
Advanced Functional Materials.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018
.
Yu Cao,
F. Liu,
B. Lotz,
2016,
Chemistry, an Asian journal.
Yu Cao,
Yunfei Ling,
Dan Zhu,
2015,
International journal of cardiology.
Yu Cao,
X. Gao,
HE H.Q.,
2019
.
G. Shen,
R. Yu,
Yu Cao,
2009
.
Yu Cao,
J. Christen,
S. Ozev,
2010
.
Fei Wang,
Yu Cao,
Chao Wang,
2014,
Nanoscale Research Letters.
Yu Cao,
Gang Li,
Chao Wang,
2015,
Nanotechnology.
Yu Cao,
Feng Zhang,
Chen Wang,
2016,
Scientific Reports.
Yu Cao,
Y. Bi,
X. Mei,
2014,
Spinal Cord.
Yu Cao,
Ya-rong He,
Z. Zeng,
2019,
Resuscitation.
Yu Cao,
Hai Hu,
Peng Yao,
2017,
The American journal of emergency medicine.
Yu Cao,
W. Schaff,
D. Jena,
2006
.
Yu Cao,
C. Xiong,
G. Adams,
2011
.
Yu Cao,
S. Chen,
Yu Xiu,
2016,
Plant biotechnology journal.
Tianyi Zhou,
Yu Cao,
Dianqi Li,
2022,
NAACL-HLT.
Yu Cao,
Z. Zeng,
Zhi Wan,
2014,
PloS one.
Yu Cao,
Qinlei Yu,
Hongming Lv,
2019,
International journal of biological macromolecules.
Yu Cao,
Jie Liu,
Qi-yuan Fan,
2020,
Neurochemical Research.
Yu Cao,
Han Yang,
Chengyin Wang,
2022,
Applied Catalysis A: General.
Yu Cao,
E. Jeppesen,
Wei Li,
2016,
Hydrobiologia.
Yu Cao,
Ming Zhao,
Ren Guo,
2019,
Clinical Epigenetics.
Yu Cao,
Guoqing Li,
Z. Fan,
2019,
Cell proliferation.
Yu Cao,
Guoping Yang,
Liying Gong,
2018,
Circulation.
Yu Cao,
Guoqing Li,
Z. Fan,
2020,
Journal of oral rehabilitation.
Yu Cao,
Yi Chen,
Fan Qi,
2022,
EClinicalMedicine.
Yu Cao,
Y. Ahn,
A. Khan,
2022,
Nano Energy.
Yu Cao,
M. Loi,
N. Zhao,
2017
.
Yu Cao,
J. Ni,
Ying Zhao,
2013
.
Yu Cao,
Ya-rong He,
Z. Zeng,
2015,
Molecular & Cellular Toxicology.
Yu Cao,
W. Lau,
Ya-rong He,
2015,
PloS one.
F. Gao,
Yu Cao,
Wei Huang,
2017
.
Yu Cao,
Xianjun Lang,
Liang‐Nian He,
2018,
ChemSusChem.
Q. Huo,
Yu Cao,
Yunlin Liu,
2017
.
Yu Cao,
Shuti Li,
Q. Liu,
2022,
Advanced Materials Interfaces.
Yu Cao,
W. Lau,
Peng Liu,
2014,
Experimental and therapeutic medicine.
Yu Cao,
W. Lau,
Ya-rong He,
2016,
Molecular medicine reports.
Yu Cao,
Ya-rong He,
R. Yao,
2015
.
Yu Cao,
Xiao-hui Li,
Zi-qiang Luo,
2009,
Atherosclerosis.
Yu Cao,
Aiqin Zhu,
Gongfeng Li,
2021
.
Yu Cao,
Z. Zeng,
Zhi Wan,
2019,
Molecular medicine reports.
Yu Cao,
Baozhong Wang,
Jiawen Shen,
2022,
Talanta.
Yu Cao,
D. Jena,
H. Xing,
2009
.
Yu Cao,
Sarvesh Bhardwaj,
Sarma B. K. Vrudhula,
2006,
J. Low Power Electron..
Yu Cao,
Lisha Shuai,
Jiamin Zhang,
2022,
IET Information Security.
Guang Yang,
Yu Cao,
Jie Yang,
2017,
IEICE Electron. Express.
Yu Cao,
Lei Xu,
Jian-guang Xu,
2017,
International journal of clinical and experimental pathology.
Yu Cao,
Jie Huang,
Wanli Jiang,
2019,
Journal of pharmacological sciences.
Yu Cao,
B. Hai,
Yeying Wang,
2017,
Biochemistry and cell biology = Biochimie et biologie cellulaire.
Yu Cao,
Y. Cheng,
W. Jia,
2011,
Analytical and bioanalytical chemistry.
Yu Cao,
F. Wang,
R. Han,
2003
.
Yu Cao,
D. Cooper,
Z. Liao,
2022,
Cellular and molecular gastroenterology and hepatology.
Yu Cao,
Xiaodong Zhuang,
Feng Liu,
2016
.
Yu Cao,
V. Rehan,
R. Sakurai,
2016,
Lung.
Yu Cao,
Guoping Yang,
Weijun Gu,
2018,
Clinical pharmacology and therapeutics.
Yu Cao,
Hui Xu,
D. Qiao,
2014,
Journal of basic microbiology.
Yu Cao,
Yan Wang,
Yu Cao,
2014,
PloS one.
Yu Cao,
H. Kageyama,
Yoji Kobayashi,
2020
.
Yu Cao,
Qiang Zhao,
Shujuan Liu,
2015
.
Yu Cao,
D. Jena,
H. Xing,
2008
.
Yu Cao,
Xiaojuan He,
Hui Li,
2015,
Nature Medicine.
Q. Huo,
Yu Cao,
Xiang Li,
2015,
Nanoscale.
Yu Cao,
Da‐Yong Zhang,
S. Renner,
2022,
Genome biology.
Tao Wang,
Q. Huo,
Yu Cao,
2015,
Dalton transactions.
Yu Cao,
Fei Li,
Xingai Jin,
2009,
Pancreas.
Yu Cao,
J. Marks,
M. Rosenblum,
2009,
Cancer research.
Yu Cao,
J. Marks,
M. Rosenblum,
2009,
Cancer research.
Yu Cao,
Da Li,
Wei Song,
2012
.
Yu Cao,
Hourong Zhou,
Jia Huang,
2018,
Molecular Medicine Reports.
Yu Cao,
L. Nyborg,
S. Pérez-García,
2015
.
Yu Cao,
Jibin Dong,
Yingxia Li,
2019,
FEBS open bio.
Yu Cao,
Sheng Lin,
Jian Li,
2022,
Frontiers in Immunology.
Yu Cao,
Yiyu Feng,
W. Feng,
2018
.
Yu Cao,
G. Bai,
Zhihui Gao,
2007,
Bioscience, biotechnology, and biochemistry.
Yu Cao,
X. Zou,
Min Chen,
2017,
Oncotarget.
Yu Cao,
Xiangyi He,
Kangmiao Ou,
2022,
Frontiers in Microbiology.
Yu Cao,
Gang Wu,
Jiajun Fan,
2020
.
Yu Cao,
J. Mu,
Weihua Gao,
2011
.
L. Chin,
Yu Cao,
J. Wargo,
2015,
Journal of Immunotherapy for Cancer.
Yu Cao,
Yan Han,
Jun Li,
2018,
International journal of molecular medicine.
Yu Cao,
Ruoling Chen,
C. Liang,
2022,
BMJ Open.
Yu Cao,
G. Huang,
Yulong Zhu,
2021,
Acta Metallurgica Sinica (English Letters).
Yuan Zhang,
Yu Cao,
Qiuling Pan,
2022,
Fluids and barriers of the CNS.
M. Huang,
Yu Cao,
Jiachen Wen,
2016
.
Yu Cao,
Shishang Qin,
Fan Zhang,
2016,
Scientific Reports.
Yu Cao,
Yi-zhen Wang,
Hua-Hua Du,
2011,
Virologica Sinica.
Yu Cao,
Wei Zhou,
Jiyang Tang,
2022,
Frontiers in Endocrinology.
Yu Cao,
Zhen Chen,
Congxuan Zhang,
2022,
Sensors and Actuators B: Chemical.
Yu Cao,
Jie Huang,
Pengshu Zhong,
2022,
Finance Research Letters.
Yu Cao,
Ting Gong,
Bi-kui Zhang,
2014,
Journal of Translational Medicine.
Yu Cao,
Shuo Liu,
X. Deng,
2019,
Cell cycle.
Yu Cao,
Jie Liu,
Qingsong Li,
2017,
Neurochemical Research.
Yu Cao,
Yangyang Cao,
Haoqing Yang,
2022,
Cell and Tissue Banking.
Yu Cao,
J. Tainer,
A. Maitra,
2022,
bioRxiv.
Yu Cao,
Dong Ming,
Ming Gao,
2022,
Frontiers in Neurology.
Yu Cao,
Minghai Ma,
Jiatao Hao,
2021
.
Min Chen,
Yu Cao,
Yun Ye,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Yu Cao,
Zhi-hua Xing,
Q. Xia,
2017,
Free radical biology & medicine.
Yu Cao,
Biaohua Chen,
Ning Liu,
2014
.
Yu Cao,
Xiao Han,
Dianshuai Gao,
2022,
Journal of cellular and molecular medicine.
Yu Cao,
Wen-long Huang,
Lin Yan,
2018,
Chinese journal of natural medicines.
Yu Cao,
K. Chan-Tack,
K. Struble,
2021,
Antiviral research.
L. Ren,
Kunyang Wang,
Z. Qian,
2022,
Frontiers in Bioengineering and Biotechnology.
Yu Cao,
Chi Zhang,
Chao Feng,
2022,
Environmental Science and Pollution Research.
W. Xu,
Yu Cao,
S. Sinha,
2010,
IEEE Electron Device Letters.
Yu Cao,
Yijie Wang,
Kunhua Wang,
2018,
International journal of clinical and experimental pathology.
Yu Cao,
W. Shi,
Weishou Shen,
2009,
Plant Cell, Tissue and Organ Culture (PCTOC).
Yu Cao,
Wenjin Guo,
He Ma,
2020,
Free radical biology & medicine.
Yu Cao,
X. Zou,
Min Chen,
2017,
OncoTarget.
Yu Cao,
Da Li,
G. Pang,
2013
.
Yu Cao,
Le-Xin Wang,
Hai-yan Ding,
2014,
Canadian journal of physiology and pharmacology.
Yu Cao,
J. Mu,
Yu Yan,
2022,
Angiology.
Yu Cao,
H. Zhou,
Nianguang Li,
2022,
Bioorganic & medicinal chemistry.
Yu Cao,
S. Yamashita,
A. Ohtsuru,
2001
.
Yu Cao,
Qiang Wang,
Yu Cao,
2016,
Nature Communications.
Yu Cao,
Yuanchang Xie,
Xinzi Sun,
2022,
IEEE Transactions on Intelligent Transportation Systems.
Yu Cao,
X. Liang,
Lianmao Peng,
2022,
Nano Research.
Yu Cao,
Chunbo Wang,
Qixiao Jiang,
2015,
BMC Complementary and Alternative Medicine.
Yu Cao,
Xiao-juan Ma,
G. Shui,
2022,
Communications Biology.
Exploring the effect of oxygen-containing functional groups on the water-holding capacity of lignite
Yu Cao,
Chen Zhang,
Li Feng,
2018,
Journal of Molecular Modeling.
Yu Cao,
Zhigang Chen,
Feng Chen,
2012
.
Yu Cao,
L. Mei,
W. Xiong,
2019,
eLife.
Yu Cao,
F. Jin,
Xiaoying Li,
2018,
Journal of Cancer.
Yu Cao,
L. Tian,
Yu-jie Lei,
2015,
Zhongguo fei ai za zhi = Chinese journal of lung cancer.
Scott V. Nguyen,
Yu Cao,
S. Fanning,
2020,
Infection Control & Hospital Epidemiology.
Yu Cao,
Ting Zhang,
Qiang Wang,
2022,
iScience.
Yu Cao,
D. Hua,
Chang Liu,
2022,
Colloids and surfaces. B, Biointerfaces.
Yu Cao,
Zhaohui Wang,
You-fu Li,
2011
.
Yu Cao,
Ya-rong He,
Hai Hu,
2017,
Molecular medicine reports.
Yu Cao,
Liguo He,
2021,
International Journal of Pure Mathematics.
Yu Cao,
N. Dahotre,
Wei He,
2015
.
Yu Cao,
N. Dahotre,
Wei He,
2014
.
Yu Cao,
Wenjin Guo,
Yanwei Li,
2019,
Front. Immunol..
Yu Cao,
Wenjin Guo,
Yanwei Li,
2019,
Toxicology and applied pharmacology.
Sunil Q. Mehta,
M. Crair,
P. Hiesinger,
2005,
Neuron.
Yu Cao,
D. Jena,
H. Xing,
2012
.
Tao Zhang,
Yu Cao,
Yanni Yang,
2013,
Respiration.
Yu Cao,
Yanni Yang,
W. Tai,
2013
.
Yu Cao,
Z. Fan,
D. Xia,
2020,
Oral diseases.
Yu Cao,
Bo-hou Xia,
Yamei Li,
2022,
Frontiers in Pharmacology.
Yu Cao,
Yaling Han,
Chenghui Yan,
2018,
Biochimica et biophysica acta. Molecular basis of disease.
Scott V. Nguyen,
Yu Cao,
D. Hurley,
2020,
International journal of systematic and evolutionary microbiology.
Yu Cao,
Junchao Yang,
Hui-hua Hong,
2020,
BMC pulmonary medicine.
Yu Cao,
Yanping Wang,
Yunhui Yu,
2022,
Biomedicine & pharmacotherapy = Biomedecine & pharmacotherapie.
Nicotinamide supplementation induces detrimental metabolic and epigenetic changes in developing rats
Yu Cao,
Ji-min Cao,
N. Luo,
2013,
British Journal of Nutrition.
Yu Cao,
M. Y. Zhang,
Z. Lu,
2020,
Journal of reproductive immunology.
Q. Huo,
Yu Cao,
Yapeng He,
2015
.
Yu Cao,
Jie Liu,
Lijing Ma,
2022,
Journal of clinical laboratory analysis.
Yu Cao,
Fusheng Pan,
Meidi Wang,
2022,
Journal of Membrane Science.
Yu Cao,
Min Zhang,
Wenshuo Wang,
2019,
Acta biomaterialia.
Yu Cao,
Wenjin Guo,
Yanwei Li,
2019,
International immunopharmacology.
Yu Cao,
D. Jena,
H. Xing,
2010,
68th Device Research Conference.
Yu Cao,
Yang Chen,
2017,
Biological chemistry.
Yu Cao,
C. Liang,
Dong-mei Ji,
2022,
Frontiers in Endocrinology.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2019,
Nano letters.
Yu Cao,
H. Qiu,
Xuezhong Yu,
2016,
Evidence-based complementary and alternative medicine : eCAM.
Yu Cao,
Mengshan He,
Kaifeng Li,
2019,
Oxidative medicine and cellular longevity.
Yu Cao,
Guangcun Li,
T. Dong,
2022,
Postharvest Biology and Technology.
Yu Cao,
Y. Cheng,
Wei Li,
2017
.
Yu Cao,
B. Steenari,
Z. Abbas,
2019,
Dyes and Pigments.
Yu Cao,
B. Steenari,
Z. Abbas,
2018,
Progress in Organic Coatings.
Preparation of Expanded Graphite-Based Composite Electrode and Electrochemical Degradation of Phenol
Yu Cao,
Zhigang Chen,
Feng Chen,
2013
.
Yu Cao,
Qiming Xian,
Tingting Gong,
2016,
Chemosphere.
M. Karsdal,
Yu Cao,
L. Rasmussen,
2011,
Clinical biochemistry.
Yu Cao,
W. Fang,
Jiajia Tang,
2017
.
Yu Cao,
Zhiyue Wang,
H. M. Yang,
2013
.
Yu Cao,
R. Huo,
Kaijuan Wang,
2022,
Zygote.
Yu Cao,
Zhigang Chen,
C. Ni,
2012,
Rare Metals.
Yu Cao,
Xingfu Wang,
Shuti Li,
2022,
Materials horizons.
Yu Cao,
Q. Xia,
P. Xue,
2011,
Cellular & Molecular Biology Letters.
Yu Cao,
Peng Deng,
R. Yao,
2021,
International journal of nursing practice.
Yu Cao,
Siwei Bi,
Qian He,
2018,
Medical science monitor : international medical journal of experimental and clinical research.
Ye Zhang,
Yu Cao,
B. Wei,
2022,
Infection and drug resistance.
Yu Cao,
P. Zhou,
Zhiguo Zhang,
2022,
Frontiers in Endocrinology.
Yu Cao,
C. Feng,
Da-hai Yu,
2018,
Cell biochemistry and function.
A. Sinclair,
Yu Cao,
C. Smith,
2016,
Endocrinology.
Yu Cao,
Lingqiang Zhang,
P. Xie,
2017,
Scientific Reports.
Yu Cao,
Fusheng Pan,
Runlai Li,
2022,
Nature Communications.
Yu Cao,
Qian Yang,
Hang Zhao,
2014,
Journal of pharmaceutical and biomedical analysis.
Yu Cao,
Z. Fan,
Mengyuan Zhu,
2022,
Frontiers in Immunology.
Yu Cao,
Haifeng Dong,
B. Fugetsu,
2015,
Small.
Yu Cao,
G. Bai,
Chunqin Liu,
2007,
Journal of chromatography. B, Analytical technologies in the biomedical and life sciences.
Yu Cao,
Jisheng Han,
Wei Wang,
2005,
Experimental Neurology.
Yu Cao,
C. Liang,
Dong-mei Ji,
2022,
Biological Trace Element Research.
Yu Cao,
Qingquan Li,
Song Wang,
2014,
Machine Vision and Applications.
Yu Cao,
J. Ren,
Jian Chen,
2022,
2022 International Conference on Computing, Communication, Perception and Quantum Technology (CCPQT).
Yu Cao,
Yu Ning,
Wenjing Zhang,
2022,
Sensors.
Yu Cao,
Fusheng Pan,
Zhongyi Jiang,
2022,
Nano-Micro Letters.
Yu Cao,
R. Xu,
Peixue Jiang,
2023,
International Journal of Heat and Mass Transfer.
Yu Cao,
L. Ren,
Xiaoxiang Zhang,
2022,
2022 29th International Conference on Geoinformatics.
Yu Cao,
G. Fang,
Feihong Ye,
2022,
Nature Communications.
Yan Luo,
Zinan Xiong,
Ying Li,
2021,
2021 IEEE International Conference on Multimedia and Expo (ICME).
Yu Cao,
Qiuze Wang,
B. Dong,
2022,
Colloids and Surfaces A: Physicochemical and Engineering Aspects.
Yu Cao,
P. Zhou,
Qiushuang Wang,
2022,
BMC Pregnancy and Childbirth.
Yu Cao,
Chao Zuo,
Qian Chen,
2022,
Applied Physics Letters.
Yu Cao,
Minhui Xue,
Ruoxi Sun,
2022,
ArXiv.
Yu Cao,
Tinghai Cheng,
Yisong Tan,
2022,
Smart Materials and Structures.
Yu Cao,
G. Lu,
Tao Lei,
2022,
Drones.
Wei Li,
Yu Cao,
T. Wan,
2016,
PloS one.
Yu Cao,
Jianfeng Lu,
Yulong Lu,
2019,
Journal of Statistical Physics.
Yu Cao,
Qinglu Lin,
Xiding Chen,
2022,
Frontiers in Environmental Science.
Yu Cao,
Wei Li,
T. Wan,
2019
.
Yu Cao,
E. Jeppesen,
Wei Li,
2016,
Hydrobiologia.
Yu Cao,
R. Jiang,
Faqun Qi,
2022,
Reliab. Eng. Syst. Saf..
Yu Cao,
Ziwei Wang,
Guanwen Huang,
2022,
Remote. Sens..
Yu Cao,
M. Qiu,
Sicong Ma,
2022,
Cardiology Discovery.
Yu Cao,
Weiwei Cao,
2022,
ArXiv.
Yu Cao,
Yu Guo,
Yan Pan,
2022,
Transactions of Nonferrous Metals Society of China.
Yu Cao,
Can Li,
G. Germinara,
2022,
Journal of Stored Products Research.
Yu Cao,
Jie-shou Li,
Ning Li,
2016,
International journal of surgery.
Yu Cao,
Xiaokun Li,
J. Zhao,
2020,
Biotechnology journal.
Yu Cao,
Mamtimyn Sunuodula,
2015
.
Yu Cao,
J. Hopkins,
Yunlong Cao,
2022
.
Yu Cao,
Hui Xu,
D. Qiao,
2015,
Journal of microbiology and biotechnology.
Yu Cao,
Hui Xu,
D. Qiao,
2013,
Journal of bioscience and bioengineering.
Yu Cao,
Hui Xu,
D. Qiao,
2012,
Current Microbiology.
Yu Cao,
Chu-Tse Wu,
Hua Wang,
2019,
Aging and disease.
Yu Cao,
H. Qiu,
Xuezhong Yu,
2016,
Evidence-based complementary and alternative medicine : eCAM.
Yu Cao,
Qiushuang Wang,
Kaijuan Wang,
2022,
Cells.
Yu Cao,
P. Zhou,
Zhiguo Zhang,
2021,
Journal of Assisted Reproduction and Genetics.
Yu Cao,
Xiaobing Huang,
Tian Zhao,
2014,
Int. J. Multim. Data Eng. Manag..
Yu Cao,
Youjie Zhou,
Lili Ju,
2013,
IEEE Transactions on Image Processing.
Meng Ma,
Maoguo Gong,
Licheng Jiao,
2011
.
Yu Cao,
Lili Ju,
Chengzhang Qu,
2011,
CVPR 2011.
Yu Cao,
Yuping Liu,
Peiguang Zhao,
2013,
Biological Trace Element Research.
Xiaoyong Du,
Yu Cao,
Shan Wang,
2012,
2012 Second International Conference on Cloud and Green Computing.
Yu Cao,
R. Misra,
Qing Liu,
2018
.
Yu Cao,
Ming Li,
Pranay Kilaru,
2010,
J. Multim..
Yu Cao,
Ming Li,
Pranay Kilaru,
2008,
2008 Tenth IEEE International Symposium on Multimedia.
Yu Cao,
Hui Liu,
Qianfu Pan,
2023,
Journal of Nuclear Materials.
Yu Cao,
L. Nyborg,
C. Oikonomou,
2022,
Materials & Design.
Changwen Hu,
Yu Cao,
Yanqing Xu,
2012,
Dalton transactions.
Changwen Hu,
Yu Cao,
Feng-Yun Cui,
2010
.
Yu Cao,
Meiyun Zhang,
Xiuzhi Tian,
2021,
Journal of hazardous materials.
Yunmeng Cao,
Yue Cui,
Xiaokun Yu,
2021,
Environmental Science and Pollution Research.
Yu Cao,
Yunyang Lu,
Haifeng Tang,
2023,
Phytochemistry.
Yu Cao,
S. Yao,
Hang Song,
2012
.
Yu Cao,
Jianguo Liu,
Xiaoyan Zeng,
2010,
IEEE Transactions on Advanced Packaging.
Yu Cao,
Jean Felix Mukerabigwi,
Min Liu,
2015,
Journal of Coatings Technology and Research.
Yu Cao,
S. Zhan,
Zhirong Yang,
2016,
International journal of infectious diseases : IJID : official publication of the International Society for Infectious Diseases.
Yu Cao,
Yongtao Li,
Yan Wang,
2015
.
Yu Cao,
Xiaocong Du,
Zheng Li,
2019,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Yu Cao,
S. Yao,
Hang Song,
2012
.
Yu Cao,
Fan Zhou,
X. Zou,
2016,
Tumor Biology.
Yu Cao,
Zhonggen Li,
Tianrong He,
2020,
Journal of the Air & Waste Management Association.
Yu Cao,
Song Wang,
Zhiqi Zhang,
2010,
2010 IEEE Computer Society Conference on Computer Vision and Pattern Recognition.
Yu Cao,
M. Bruss,
X. Mo,
2014,
PloS one.
Yu Cao,
Kai Wang,
X. Gong,
2019,
Nano Energy.
Yu Cao,
Guozhong Zhang,
Juan Pu,
2008,
Avian diseases.
Yu Cao,
C. Liang,
Dong-mei Ji,
2022,
Environmental Science and Pollution Research.
Yu Cao,
Li Bai,
Zhongyi Yu,
2017,
Veterinary microbiology.
Yu Cao,
Guozhong Zhang,
Juan Pu,
2008
.
Yu Cao,
Yi Liu,
S. Mei,
2015,
Stem Cell Research & Therapy.
Yu Cao,
Wenjin Guo,
Yanwei Li,
2020,
Journal of cellular physiology.
Yu Cao,
Liping Zhang,
Ying Zhao,
2012
.
Yu Cao,
E. Ström,
Yiming Yao,
2007
.
Yu Cao,
L. Nyborg,
P. Tam,
2012
.
Yu Cao,
Donghui Long,
Yayun Zhang,
2022,
Composites Science and Technology.
Yu Cao,
Donghui Long,
Z. Qian,
2022,
Composites Communications.
Yu Cao,
L. Nyborg,
D. Yi,
2017
.
Yu Cao,
L. Nyborg,
J. Svensson,
2015
.
Yu Cao,
L. Nyborg,
J. Svensson,
2014
.
Yu Cao,
J. Ni,
Ying Zhao,
2014
.
Yu Cao,
Yiyu Feng,
W. Feng,
2017
.
Yu Cao,
Yunsheng Zhang,
Yun Hai,
2022,
Ceramics International.
Yu Cao,
Kun Lu,
H. Fu,
2019,
Inorganic chemistry.
Yu Cao,
Johan Liu,
C. Zandén,
2014,
Journal of Materials Science: Materials in Electronics.
Yu Cao,
Chu-Tse Wu,
Hua Wang,
2016,
Stem Cell Research & Therapy.
Yu Cao,
Yong Du,
Y. Ouyang,
2019,
Journal of Materials Science.
Yu Cao,
L. Nyborg,
P. Tam,
2013
.
Yu Cao,
L. Nyborg,
E. Hryha,
2018
.
Yu Cao,
Jun Wang,
Qinqin Sun,
2019,
Journal of Renewable and Sustainable Energy.
Yu Cao,
Guangcun Li,
T. Dong,
2020,
Journal of agricultural and food chemistry.
Yu Cao,
K. Jordan,
S. Srikumar,
2016,
Front. Microbiol..
Yu Cao,
Siyu Zhao,
Yuting Qin,
2022,
Industrial Crops and Products.
Yu Cao,
B. Zhu,
X. Xia,
2020
.
Yu Cao,
Yu Cao,
Guoxiu Wang,
2018,
Chemistry, an Asian journal.
Yu Cao,
D. Qiao,
Yi Cao,
2022,
International journal of molecular sciences.
Jia Wang,
Yu Cao,
Pengfei Zhang,
2017,
2017 3rd International Conference on Big Data Computing and Communications (BIGCOM).
Yu Cao,
Sheng Lin,
J. Gu,
2019
.
Yu Cao,
J. Gu,
G. Lu,
2019,
Biochemical and biophysical research communications.
Yu Cao,
Guangcun Li,
T. Dong,
2021
.
Yu Cao,
Chengwei Yang,
Xianwen Zhang,
2017,
Acta Physiologiae Plantarum.
Yu Cao,
Zihao Liu,
Xiaopeng Zhou,
2022,
Optics & Laser Technology.
Chan Hyuk Kim,
P. Schultz,
Yu Cao,
2015,
Journal of the American Chemical Society.
Yu Cao,
Chengyin Wang,
Mandy Wang,
2017,
Bioanalysis.
Chan Hyuk Kim,
P. Schultz,
Yu Cao,
2016,
Proceedings of the National Academy of Sciences.
Yu Cao,
Bin Zhao,
Wei He,
2013,
Biomacromolecules.
Yu Cao,
Hua-ming Li,
Yong Gao,
2012
.
Yu Cao,
Yiming Tang,
Yu Cao,
2012,
Journal of hazardous materials.
Yu Cao,
Caihua Xiong,
Hai-Tao Zhang,
2019,
IEEE Transactions on Automation Science and Engineering.
Yu Cao,
Shuti Li,
Jinwei Gao,
2023,
Surfaces and Interfaces.
Yu Cao,
Sanqing Hu,
Robert Kozma,
2016,
2016 IEEE International Conference on Systems, Man, and Cybernetics (SMC).
Yu Cao,
Zheng Wang,
Yunlu Wang,
2016
.
Yu Cao,
Y. Dong,
Z. Jiang,
2016
.
Yu Cao,
D. Qiao,
Yi Cao,
2011
.
Zigang Dong,
Xiangjian Luo,
Ann M. Bode,
2013,
Cell Death and Disease.
Yu Cao,
T. Marion,
Yanwei Cheng,
2018,
Front. Immunol..
Yu Cao,
F. Jin,
Xiaoying Li,
2017,
Tumour biology : the journal of the International Society for Oncodevelopmental Biology and Medicine.
Yu Cao,
Qiang Wang,
Congwei Zhang,
2013,
Yi chuan = Hereditas.
Yu Cao,
Yang Li,
Lili Yu,
2017,
Cell cycle.
Yu Cao,
K. To,
X. Zou,
2017,
Journal of cellular and molecular medicine.
Yu Cao,
Mengyao Wang,
Chunmei Liang,
2022,
Stem cell research.
M. Stratton,
A. Protopopov,
P. Futreal,
2014,
Nature Genetics.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2019,
Advanced materials.
Yu Cao,
Weiwei Qian,
2022,
Frontiers in Immunology.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2019,
Analytical chemistry.
Yu Cao,
Guo-qing Zhang,
Ping Shi,
2020,
Cell Death & Disease.
Yu Cao,
Rong Zeng,
Yu Cao,
2015,
Oxidative medicine and cellular longevity.
Yu Cao,
B. He,
Lan Li,
2018,
OncoTargets and therapy.
Yu Cao,
Mingyao Meng,
Yaxiong Li,
2018,
Medical science monitor : international medical journal of experimental and clinical research.
Yu Cao,
Xiaoqing Yuan,
X. Ye,
2023,
Diabetes, metabolic syndrome and obesity : targets and therapy.
Yu Cao,
Y. Wen,
Xueji Zhang,
2017,
Small.
Yu Cao,
M. Sang,
Fei Liu,
2016,
Biomarkers : biochemical indicators of exposure, response, and susceptibility to chemicals.
Yu Cao,
Hui Xu,
D. Qiao,
2016
.
Yu Cao,
Yuan Zhu,
Qifeng Deng,
2022,
Cell death discovery.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2019,
Analytica chimica acta.
Yu Cao,
Haifeng Dong,
Xiangdan Meng,
2019,
Analytical and Bioanalytical Chemistry.
Yu Cao,
Peng Wang,
An Zhang,
2022,
Mediators of inflammation.
Yu Cao,
P. Zhou,
Zhiguo Zhang,
2021,
Frontiers in Immunology.
Yu Cao,
Hu Zhou,
Weiwei Gao,
2010,
Cancer cell.
Yu Cao,
Kouminin Kanwore,
Dianshuai Gao,
2023,
Journal of Zhejiang University-SCIENCE B.
Yu Cao,
S. Said,
Shi-Long Lu,
2019,
Molecular oncology.
Yu Cao,
Ning Li,
Weiming Zhu,
2017,
World Journal of Surgical Oncology.
Y. Qi,
Yu Cao,
A. González-Angulo,
2014,
Molecular Cancer Therapeutics.
Yu Cao,
Hui Xu,
D. Qiao,
2014
.
Yu Cao,
Krishna Rao,
S. Verhulst,
2016,
Oncotarget.
Yu Cao,
Ying Wang,
P. Zhou,
2022,
Stem cells international.
Yu Cao,
Y. Liu,
Lile Wu,
2017,
Medicine.
Yu Cao,
Kaijuan Wang,
Zhiguo Zhang,
2022,
Frontiers in Endocrinology.
Yu Cao,
Hélio Pedrini,
Marlon Fernandes de Alcântara,
2017,
Int. J. Image Graph..
Yu Cao,
Peng Zheng,
Song Wang,
2013,
Wuhan University Journal of Natural Sciences.
Yu Cao,
Sanqing Hu,
Ming Li,
2008,
2008 Tenth IEEE International Symposium on Multimedia.
Yu Cao,
Sanqing Hu,
Ming Li,
2008,
2008 19th International Conference on Pattern Recognition.
Yu Cao,
F. Tao,
P. Zhu,
2022,
The Science of the total environment.
Yu Cao,
Xiaojiao Zheng,
Weinan Sun,
2018,
Endocrinology.
Yu Cao,
Yan Liu,
Jianrui Sun,
2014,
Bioresource technology.
Y. Wang,
Yu Cao,
Xin Li,
2022,
Physical Review B.
Yu Cao,
Chi Cheng,
L. Zhai,
2015,
International journal of systematic and evolutionary microbiology.
Yu Cao,
Can Li,
Bo Zhou,
2009,
Journal of Pest Science.
Yu Cao,
Jian Zhang,
Pengcheng Wang,
2022,
Journal of Plant Growth Regulation.
Yu Cao,
Chengwei Yang,
Meixue Zhou,
2017,
Front. Plant Sci..
Yu Cao,
J. Wang,
Qiushuang Wang,
2022,
Frontiers in Endocrinology.
Yu Cao,
G. Bai,
Zhihui Gao,
2009,
Journal of chromatography. A.
A. Sinclair,
Yu Cao,
C. Smith,
2016,
Endocrinology.
Yu Cao,
Dazhi Wang,
X. Nie,
2011,
Marine environmental research.
Yu Cao,
Jian-gang Zhao,
Zhaohui Wang,
2009,
Journal of environmental sciences.
Yu Cao,
Wenqing Zhao,
Dongyan Bao,
2017,
Molecular medicine reports.
Yu Cao,
Y. Liu,
Yong Zhang,
2018,
The American journal of emergency medicine.
Yu Cao,
Feng Liu,
M. Alaasar,
2022,
New Journal of Chemistry.
Yu Cao,
S. Tsirka,
Ya-rong He,
2014,
Stroke.
Yu Cao,
Min Zhu,
L. Ouyang,
2015
.
Yu Cao,
L. Nyborg,
G. Maistro,
2015
.
Yu Cao,
Jian Li,
Xin Tang,
2022,
Frontiers in Surgery.
Yu Cao,
Yijie Wang,
Kunhua Wang,
2016
.
Yu Cao,
Fang Liu,
Wei Qin,
2012,
Injury.
Yu Cao,
Ye Wang,
Lan Chen,
2017,
Oncology reports.
Yu Cao,
W. Hittelman,
M. Rosenblum,
2013,
Molecular Cancer Therapeutics.
Yu Cao,
W. Hittelman,
M. Rosenblum,
2013
.
Yu Cao,
Baihai Su,
Ling Zhang,
2010,
Blood Purification.
Yu Cao,
Dongze Li,
Xu Hu,
2017,
The American journal of emergency medicine.
Diagnostic performance of interleukin-6 and interleukin-8 for bacterial meningitis: a meta-analysis.
Yu Cao,
Z. Zeng,
R. Yao,
2015,
International journal of clinical and experimental medicine.
Yu Cao,
Hui Xu,
D. Qiao,
2013,
Journal of Industrial Microbiology & Biotechnology.
Hao Li,
Yu Cao,
Wei Wei,
2023,
Frontiers in Neuroscience.
Yu Cao,
Yu Sun,
Chunxiang Zhang,
2018,
International Urology and Nephrology.
Yu Cao,
Wancai Yang,
Yi Shen,
2014,
Clinical Cancer Research.
Yu Cao,
Chen Chen,
Y. Hao,
2022,
Immunity & ageing : I & A.
Yu Cao,
Sheng Lin,
G. Lu,
2018,
Virology Journal.
Sunil Q. Mehta,
P. Hiesinger,
P. Verstreken,
2003,
Neuron.
M. Urteaga,
Yu Cao,
E. Beam,
2022,
2022 IEEE/MTT-S International Microwave Symposium - IMS 2022.
Yu Cao,
R. Chen,
Wei Li,
2023,
Frontiers in Pharmacology.
Yu Cao,
P. Liu,
Yaowen Jiang,
2017,
International journal of cardiology.
Yu Cao,
James Kowalski,
Abu T M Serajuddin,
2008,
Molecular pharmaceutics.
Yu Cao,
Baiping Xu,
Xianwu Cao,
2014
.
Yu Cao,
Yang-jian Zhang,
Jie Tian,
2013
.
Yu Cao,
Y. Li,
Lan Li,
2017,
Anti-cancer drugs.
Yu Cao,
Ya-rong He,
Z. Zeng,
2016,
Clinical and applied thrombosis/hemostasis : official journal of the International Academy of Clinical and Applied Thrombosis/Hemostasis.
Yu Cao,
Fan Zhou,
X. Zou,
2018,
Cell Death & Disease.
A. Pesce,
Yu Cao,
V. Trezza,
2013,
PloS one.
Yu Cao,
Yingshi Zhang,
Yingjie Guo,
2018,
Pharmacological research.
Yu Cao,
Jean Felix Mukerabigwi,
Min Liu,
2016
.
Yu Cao,
T. Ling,
X. Zou,
2019,
Cancer letters.
Yu Cao,
Yangyang Cao,
Haoqing Yang,
2022,
Cell and Tissue Research.
Yu Cao,
Dian-Jun Yu,
Yangyang Cao,
2021
.
Yu Cao,
Shuang Cao,
Yongping Yang,
2018,
Biochimica et biophysica acta. General subjects.
Yi Liu,
Danyu Wang,
Zewei Wang,
2021,
Forests.
Yu Cao,
Zuowan Zhou,
Wei Wei,
2023,
ACS Sustainable Chemistry & Engineering.
Yu Cao,
Hui Xu,
D. Qiao,
2017,
Renewable Energy.
Tao Wang,
Q. Huo,
Yu Cao,
2014,
Nano Research.
Yu Cao,
Q. Ma,
Wuping Sun,
2013,
Sheng li xue bao : [Acta physiologica Sinica].
Yu Cao,
W. Jia,
M. Su,
2011,
Metabolomics.
Yu Cao,
B. Liu,
Z. Wang,
2015
.
Yu Cao,
Jean Felix Mukerabigwi,
Xueying Huang,
2016
.
Yu Cao,
Z. Wang,
Zizhen Gao,
2019
.
Yu Cao,
Z. Wang,
Zhiheng Wang,
2018,
Construction and Building Materials.
Yu Cao,
Ying Chen,
Xue Feng,
2020,
ACS applied materials & interfaces.
Yu Cao,
Thomas V. O'brien,
G. Dupuis,
2022,
SPE Journal.
Yu Cao,
Wenjie Fan,
Yirong Mo,
2012,
Combinatorial chemistry & high throughput screening.
Yu Cao,
X. Weng,
G. Qiu,
2004,
Zhonghua wai ke za zhi [Chinese journal of surgery].
Yu Cao,
Wei-bin Zhang,
Shi-long Dong,
2008,
Zhongguo gu shang = China journal of orthopaedics and traumatology.
Yu Cao,
Tiansheng Wang,
Yingxia Zhang,
2015,
International journal of clinical and experimental medicine.
Yu Cao,
Jean Felix Mukerabigwi,
Xueying Huang,
2015
.
Lucas C. Reineke,
Yu Cao,
W. Merrick,
2011,
PloS one.
Christine N. May,
Yu Cao,
S. Pagoto,
2018,
European Journal of Nutrition.
Yu Cao,
Wenjin Guo,
Yanwei Li,
2019,
Journal of cellular physiology.
Yu Cao,
Thomas V. O'brien,
G. Dupuis,
2022,
Day 2 Tue, April 26, 2022.
Yu Cao,
Yaqing Liang,
Chen Wu,
2023,
Frontiers in Nutrition.
Z. Dai,
Yu Cao,
Wenting Liu,
2022,
Toxicology letters.
Yu Cao,
M. Wei,
F. Jin,
2019,
International immunopharmacology.
Yu Cao,
Donghui Long,
Mei Wang,
2023,
Journal of Power Sources.
Lingyun Xiang,
Xuyu Xiang,
Jianjun Zhang,
2020
.
Yu Cao,
Liping Wang,
S. Diao,
2017,
Cellular & Molecular Biology Letters.
Yu Cao,
Xiaoqing Huang,
Yang Yang,
2017,
Oncology letters.
Yu Cao,
Xiaoqing Huang,
Yang Yang,
2022
.
Yu Cao,
Yirui Sun,
Qinzheng Zhao,
2018
.
Yu Cao,
Feng Wang,
Peter G Schultz,
2016,
Proceedings of the National Academy of Sciences.
Yu Cao,
J. Bai,
Xinke Zhao,
2021,
Journal of healthcare engineering.
Yu Cao,
Yan Feng,
P. Zhu,
2015,
PloS one.
Yu Cao,
Cheng Wang,
Junhong Lü,
2022,
Free Radical Biology and Medicine.
Yu Cao,
Kian-Lee Tan,
Chee Yong Chan,
2012,
The VLDB Journal.
Yu Cao,
Shishang Qin,
Hui Xu,
2017,
Scientific Reports.
Yu Cao,
Lingqiang Zhang,
Yu Cao,
2012,
Cellular and Molecular Life Sciences.
Yu Cao,
Ting-dong Yan,
Wen Zhou,
2008,
Cancer research.
Yu Cao,
C. Liang,
Dong-mei Ji,
2022,
Ecotoxicology and environmental safety.
Yu Cao,
F. Tao,
C. Liang,
2023,
Biological trace element research.
Yu Cao,
Honglak Lee,
Junling Hu,
2010,
KDD.
Yu Cao,
Xueying Zhao,
K. Ma,
2016,
Journal of Human Genetics.
Hao Li,
Yu Cao,
Rui Zheng,
2023,
Frontiers in Neuroscience.
Yu Cao,
Jiangang Liu,
Yang Yang,
2019,
Front. Pharmacol..
Yu Cao,
Carl K. Chang,
Lorenzo Chiari,
2016,
Lecture Notes in Computer Science.
Yu Cao,
Z. Zeng,
Zhi Wan,
2016
.
Yu Cao,
G. Heath,
F. Fesmire,
2012,
The American journal of emergency medicine.
Yu Cao,
G. Lu,
Jianqi Wang,
2022,
Drones.
Yu Cao,
D. Qiao,
Yi Cao,
2016
.
Yu Cao,
Yamin Pan,
Gang Han,
2017,
International journal of oncology.
Yu Cao,
F. Tao,
C. Liang,
2022,
The Science of the total environment.
Yu Cao,
Chi Zhang,
Chao Feng,
2022,
Environmental Science and Pollution Research.
Yu Cao,
Jinning Zhang,
C. Feng,
2022,
The Science of the total environment.
Yu Cao,
H. Deng,
Kuncheng Zheng,
2022,
Energies.
Song Wang,
Yu Cao,
Youjie Zhou,
2017,
IEEE Transactions on Circuits and Systems for Video Technology.
Yu Cao,
Zhengyan Li,
K. Guo,
2022,
Journal of environmental management.
Yu Cao,
Lin Zhang,
Z. Zeng,
2018,
Medicine.
Yu Cao,
Sanrong Wang,
Yang Sun,
2019,
Seminars in arthritis and rheumatism.
Sunil Q. Mehta,
P. Hiesinger,
P. Verstreken,
2005,
Cell.
Yu Cao,
Yang Yang,
Ying-li Lin,
2016,
International Urology and Nephrology.
Yu Cao,
Didi Chen,
Hongxuan He,
2012,
Journal of Materials Science: Materials in Medicine.
Chan Hyuk Kim,
P. Schultz,
Yu Cao,
2014,
Journal of the American Chemical Society.
Yu Cao,
Wei Li,
Junyao Sun,
2022,
Global Ecology and Conservation.
Xiaoying Zheng,
Yu Cao,
W. Jia,
2011,
Chemical research in toxicology.
Yu Cao,
Wan-tie Wang,
Liang-rong Wang,
2023,
Journal of the Chinese Medical Association : JCMA.
Yu Cao,
Y. Hua,
Chengxian Guo,
2020,
Investigational New Drugs.
Yu Cao,
Tianyu Liu,
Yunhua Tu,
2016,
Oncology reports.
Yu Cao,
2016
.
Yu Cao,
Jian-guang Xu,
Lei Xu,
2017,
Oncotarget.
Yu Cao,
Weihong Guo,
Yanjie Ji,
2019,
IET Intelligent Transport Systems.
Most Hospitals Received Annual Penalties For Excess Readmissions, But Some Fared Better Than Others.
Yu Cao,
G. Bazzoli,
T. Waters,
2017,
Health affairs.
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2018,
Integr..
H. Friess,
Yu Cao,
Chen Huang,
2017,
Oncotarget.
Yu Cao,
C. Sack,
Chia-Pei Liang,
2021,
Food additives & contaminants. Part A, Chemistry, analysis, control, exposure & risk assessment.
Yu Cao,
Ya-rong He,
Z. Zeng,
2016,
The American journal of emergency medicine.
Yu Cao,
Bin Wang,
Li Zhou,
2023,
Journal of Materials Research and Technology.
Yu Cao,
R. Wei,
Huiping Wu,
2016
.
Yu Cao,
Hui Xu,
Yi Cao,
2008,
FEMS microbiology letters.
Yu Cao,
X. Zou,
Lei Wang,
2019,
Cell Death & Disease.
Yu Cao,
Lizhi Xu,
Yaping Wang,
2018,
The Science of the total environment.
Yu Cao,
Xiaofeng Sun,
Guangbo Liu,
2022,
Journal of Alloys and Compounds.
Scott V. Nguyen,
Yu Cao,
R. Daugelavičius,
2020,
bioRxiv.
Yu Cao,
Dongze Li,
Yu Cao,
2016,
Journal of the American Heart Association.
Yu Cao,
Quan Sun,
Xiao-Ning Zhao,
2020,
BMC genomic data.
Yu Cao,
J. Staessen,
L. Thijs,
2020,
Hypertension.
Yu Cao,
Q. Xia,
Yanrong Lu,
2016,
Scientific Reports.
Yu Cao,
T. Venkatesan,
S. Pennycook,
2019,
Chemistry of Materials.
Yu Cao,
Ya-rong He,
Zhihan Gu,
2017,
The American journal of emergency medicine.
Yu Cao,
Shishang Qin,
Yuhao Wang,
2018,
Protein expression and purification.
Yu Cao,
Rixin Chen,
Chengyin Liu,
2016,
Medical science monitor : international medical journal of experimental and clinical research.
Yu Cao,
X. Xing,
Yuhua Cao,
2016
.
Yu Cao,
Chi Cheng,
L. Zhai,
2015,
International journal of systematic and evolutionary microbiology.
Yu Cao,
T. Sandoval,
P. Rodriguez,
2019,
Cell Death Discovery.
Yu Cao,
Lan Chen,
Yaxuan Cao,
2020,
OncoTargets and therapy.
Yu Cao,
Jianzhong Zhou,
Wenchao Xue,
2023,
Journal of Laser Applications.
Yu Wang,
Shimeng Yu,
Yu Cao,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Tao Zou,
Yu Cao,
Haibin Yu,
2014,
The 26th Chinese Control and Decision Conference (2014 CCDC).
Yu Cao,
Dacheng Tao,
Meng Fang,
2019,
NAACL.
Q. Huo,
Yu Cao,
Y. Ao,
2019,
Journal of Solid State Chemistry.
Yu Cao,
Xuan Cao,
Chongwu Zhou,
2014,
ACS nano.
Yu Cao,
Wei Zhao,
Saurabh Sinha,
2010,
Found. Trends Electron. Des. Autom..
Yu Cao,
Wei Zhao,
Yu Cao,
2006,
2006 1st International Conference on Nano-Networks and Workshops.
Hao Li,
Yu Cao,
Wei Wei,
2022,
Frontiers in Neuroscience.
Wei Huang,
Xiaochen Dong,
Mary B. Chan-Park,
2012
.
Yu Cao,
F. Jin,
Shu Guan,
2021,
International journal of biological sciences.
Yu Cao,
Sarma Vrudhula,
Jae-sun Seo,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2017,
FPGA.
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2016,
2016 26th International Conference on Field Programmable Logic and Applications (FPL).
C. Chan,
K. Tan,
Yu Cao,
2012,
The VLDB Journal.
Yu Cao,
D. Ding,
S. Johnson,
2003,
2003 International Symposium on Compound Semiconductors: Post-Conference Proceedings (IEEE Cat. No.03TH8767).
Yu Cao,
Dezhen Wu,
Tianyu Meng,
2018,
Advanced Energy Materials.
Yu Cao,
Sarma Vrudhula,
Jae-sun Seo,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yu Cao,
Fang Qian,
S. Gradečak,
2012,
Nano letters.
Chaitali Chakrabarti,
Gregory K. Chen,
Ram Krishnamurthy,
2017,
2017 IEEE Biomedical Circuits and Systems Conference (BioCAS).
Yu Cao,
Shanshan Huang,
Jun Lin,
2011
.
Yu Cao,
Chen Cao,
Yiyu Feng,
2018,
Chemical communications.
Yu Cao,
Jie Liu,
Qi-yuan Fan,
2020,
Neurochemical Research.
Yu Cao,
Baihai Su,
Ling Zhang,
2013,
Clinical journal of the American Society of Nephrology : CJASN.
Yu Cao,
Jianchuan Liu,
Renxi Liu,
2023,
Physical Chemistry, Chemical Physics - PCCP.
Yu Cao,
Weihua Gui,
Hongqiu Zhu,
2013
.
Yu Cao,
Haifeng Dong,
B. Fugetsu,
2015,
Scientific Reports.
Yu Cao,
A. S. P. Paz,
W. Glover,
2022,
Journal of the American Chemical Society.
Yu Cao,
Guo-yu Li,
Xiaoqian Fang,
2021,
Journal of environmental management.
Yu Cao,
H. Jiang,
Wei Li,
2022,
Water research.
Yu Cao,
Wei Wei,
H. Nie,
2010,
Clinical toxicology.
Yu Cao,
Peng Wang,
Donghui Long,
2023,
Industrial & Engineering Chemistry Research.
Yu Cao,
Asha Balijepalli,
Joseph Ervin,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Yu Cao,
H. Tai,
Zaihua Duan,
2023,
Sensors and Actuators B: Chemical.
Yu Cao,
Yu Liu,
Yang Yang,
2014,
Chemical communications.
Yu Cao,
Jean Felix Mukerabigwi,
Min Liu,
2015
.
Yu Cao,
J. Ni,
Jianjun Zhang,
2014,
Optoelectronics Letters.
Yu Cao,
Wei Li,
Ting Wu,
2018,
bioRxiv.
Yu Cao,
Wei Zhang,
A. Hoitink,
2018,
Journal of Hydrology.
Yu Cao,
Chongwu Zhou,
Haitian Chen,
2014,
Nature Communications.
Yu Cao,
Can Li,
C. Athanassiou,
2023,
Journal of Pest Science.
Yu Cao,
S. Yoon,
E. Beam,
2019,
IEEE Electron Device Letters.
Yu Cao,
Saurabh Sinha,
Bertan Bakkaloglu,
2011,
IEEE Electron Device Letters.
Yu Cao,
Zicheng Hu,
N. D. Melgiri,
2019,
EBioMedicine.
Yu Cao,
R. Chen,
Lihong Jiang,
2022,
Bioscience reports.
Yu Cao,
Aura Tintaru,
Chao Chen,
2016
.
Yu Cao,
Feng Wang,
Peter G Schultz,
2015,
Journal of the American Chemical Society.
Y. Liu,
Yu Cao,
Yukun Long,
2023,
Symposium on Novel Photoelectronic Detection Technology and Application.
Yu Cao,
Chunmei Liang,
Zhiguo Zhang,
2022,
Cells.
Shimeng Yu,
Yu Cao,
Jae-sun Seo,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yu Cao,
Andrew B. Kahng,
Tianwei Chen,
1998
.
Sunil Q. Mehta,
P. Hiesinger,
P. Verstreken,
2006,
PLoS biology.
Yu Cao,
Hong Ming,
Wenjun Li,
2014,
International journal of systematic and evolutionary microbiology.
Yu Cao,
Z. Zeng,
Dongze Li,
2017,
Journal of the American College of Cardiology.
Yu Cao,
Jie Liu,
Qiang Wang,
2023,
Nature Cardiovascular Research.
Yu Cao,
Cheng Cui,
Xin Qin,
2016,
Development, growth & differentiation.
Yu Cao,
J. Houl,
K. J. Fogle,
2015,
Proceedings of the National Academy of Sciences.
Yu Cao,
S. Yuan,
Mengyun Hu,
2023,
Photonics.
Yu Cao,
L. Mei,
W. Xiong,
2020,
The Journal of Neuroscience.
Y. Liu,
Yu Cao,
Jianhua Hou,
2022,
Journal of Molecular Liquids.
Yu Cao,
W. Xie,
Min Liu,
2019,
Experimental cell research.
Kunyang Wang,
Yu Cao,
Wei Liang,
2022,
Drones.
Yu Cao,
Song Wang,
Zhiqi Zhang,
2011,
CVPR 2011.
Yu Cao,
K. Berg,
E. Skarpen,
2014,
Journal of controlled release : official journal of the Controlled Release Society.
Yu Cao,
Yong Bai,
Jiandong Tang,
2015
.
Yu Cao,
Hui Xu,
D. Qiao,
2014,
Current Microbiology.
Yu Cao,
Pan Xu,
P. Hu,
2022,
Emerging microbes & infections.
Yu Cao,
Junlei Wang,
O. Gaidai,
2023,
Micromachines.
Yu Cao,
Hongzhi Wang,
Tanveer F. Syeda-Mahmood,
2015,
2015 IEEE 12th International Symposium on Biomedical Imaging (ISBI).
Yu Cao,
G. Bazzoli,
T. Waters,
2016,
Health services research.
K. Aldape,
Yu Cao,
I. Verma,
2012,
Molecular cell.
Yu Cao,
Chi-Chao Wang,
Anupama R. Subramaniam,
2012,
Microelectron. J..
Yu Cao,
Chi-Chao Wang,
Anupama R. Subramaniam,
2008,
2008 Asia and South Pacific Design Automation Conference.
Yu Cao,
Fuqiang Wang,
Guangyu Wan,
2011,
Asia Pac. J. Oper. Res..
Yu Cao,
Lei Wan,
Hongde Qin,
2022,
J. Frankl. Inst..
Yu Cao,
Zhiyong Zhang,
C. Jin,
2023,
ACS applied materials & interfaces.
Yu Cao,
Sarma Vrudhula,
Yufei Ma,
2017,
2017 27th International Conference on Field Programmable Logic and Applications (FPL).
Yu Cao,
Wenping Wang,
Rakesh Vattikonda,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Yu Cao,
Jing Wu,
Ling Liu,
2023,
Ind. Manag. Data Syst..
Yu Cao,
Tat-Seng Chua,
Xun Yang,
2019,
ICMR.
Yu Cao,
Si Shi,
Wing S. Chow,
2019,
Int. J. Inf. Manag..
Yu Cao,
Jiao Nie,
Wei-Xiao Wang,
2022,
Indian Journal of Microbiology.
Yu Cao,
F. Ren,
S. Pearton,
2012
.
Yu Cao,
Ke-Chiun Chang,
Yen-Jo Kiang,
2016
.
Yu Cao,
He Ni,
Tianhong Luan,
2014,
Int. J. Technol. Manag..
Yu Cao,
D. Jena,
H. Xing,
2010
.
Yu Cao,
Rongming Chu,
Daniel Zehnder,
2016,
IEEE Electron Device Letters.
Yu Cao,
Li Xie,
Yu Cao,
2014,
Molecular medicine reports.
Simulation, fabrication, and application of transparent conductive Mo-doped ZnO film in a solar cell
Yu Cao,
Xiaodang Zhang,
Ying Zhao,
2016
.
Yu Cao,
Jian Li,
Xiaojun Shi,
2023,
Orthopaedic surgery.
Yu Cao,
Yan Luo,
Ning Zhang,
2015,
2015 IEEE International Conference on Networking, Architecture and Storage (NAS).
Yu Cao,
Qiang Xu,
S. Kershaw,
2022,
GSA Bulletin.
Yu Cao,
S. Morgera,
Y. Shangguan,
2022,
Proteomics.
Yu Cao,
Qing Wu,
Dan Su,
2022,
Environment, Development and Sustainability.
Yu Cao,
Hui Xu,
D. Qiao,
2013,
Current Microbiology.
Yu Cao,
Yan Luo,
Zinan Xiong,
2023,
Smart Health.
Yu Cao,
Guo-yu Li,
Xiaoqian Fang,
2022,
Journal of Rural Studies.
Yu Cao,
G. Heath,
F. Fesmire,
2012,
Critical pathways in cardiology.
Lixue Xia,
Yu Cao,
Yuan Xie,
2020,
ACM Great Lakes Symposium on VLSI.
Yu Cao,
S. Boumaiza,
A. Ayed,
2021,
IEEE Microwave and Wireless Components Letters.
Yu Cao,
Huadong Ma,
Huiyuan Fu,
2018,
2018 24th International Conference on Pattern Recognition (ICPR).
Yu Cao,
Maoguo Gong,
Licheng Jiao,
2013,
Int. J. High Perform. Comput. Appl..
Yu Cao,
Maoguo Gong,
Qiaodi Wu,
2012,
IEEE Geoscience and Remote Sensing Letters.
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yu Cao,
Haiyao Huang,
Jae-Sun Seo,
2018,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Yu Cao,
Wei Zhao,
Chi Liu,
2011
.
Yu Cao,
Dennis Sylvester,
Chenming Hu,
2002,
15th Annual IEEE International ASIC/SOC Conference.
Yu Cao,
Jin He,
Bo Li,
2006
.
Bo Yang,
Yu Cao,
Wenping Wang,
2008,
2008 IEEE Custom Integrated Circuits Conference.
Andrew B. Kahng,
Alex S. Fukunaga,
Y. Uny Cao,
1995,
Proceedings 1995 IEEE/RSJ International Conference on Intelligent Robots and Systems. Human Robot Interaction and Cooperative Robots.
Yu Cao,
Shen Lin,
Chenming Hu,
2002,
Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.
Yu Cao,
Dennis Sylvester,
Chenming Hu,
2000,
International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
Yu Cao,
Dennis Sylvester,
Andrew B. Kahng,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Yu Cao,
Guo-yu Li,
Yan Li,
2020,
International journal of environmental research and public health.
Efficient full-chip SRAF placement using machine learning for best accuracy and improved consistency
Quan Zhang,
Yu Cao,
Yen-Wen Lu,
2018,
Advanced Lithography.
Yu Cao,
Maoguo Gong,
Zhiqiang Zhou,
2012,
2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum.
Yu Cao,
Kehua Xu,
Xiaohong Pan,
2022,
Chemical communications.
Yu Cao,
Yu Cao,
2011
.
Yu Cao,
Saurabh Sinha,
Asha Balijepalli,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Yu Cao,
Zaili Yang,
Zhengjiang Liu,
2023,
Ocean Engineering.
Yu Cao,
Hongyong Cao,
Shuli Zhao,
2022,
Cell Death & Disease.
Yu Cao,
Junwei Wang,
Di Kang,
2023,
Social Science Research Network.
Yu Cao,
F. Luan,
Xian Zhang,
2023,
Plant science : an international journal of experimental plant biology.
Yu Cao,
Chang-zheng He,
Ting Zhu,
2013,
2013 10th International Conference on Service Systems and Service Management.
Yu Cao,
Jung-Hwan Oh,
Wallapak Tavanapong,
2005,
MULTIMEDIA '05.
Chong-Wah Ngo,
Yu Cao,
Tat-Seng Chua,
2018,
MMM.
Yu Cao,
Xiaojie Chen,
Q. Liang,
2023,
Communications in Theoretical Physics.
Ru Huang,
Yu Cao,
Abinash Mohanty,
2015,
IEEE Transactions on Device and Materials Reliability.
Yu Cao,
Ketul Sutaria,
Mike Shuo-Wei Chen,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
P. Y. Mok,
Tong-Yee Lee,
Yu Cao,
2023,
ArXiv.
Yu Cao,
Hao Tian,
P. Mok,
2022,
ArXiv.
Yu Cao,
Ya-rong He,
Jun-zhao Liu,
2019,
Resuscitation.
Yu Cao,
Yifan Chen,
Liu-yi Wang,
2022,
Frontiers in Pharmacology.
Yu Cao,
Sanqing Hu,
Wanzeng Kong,
2016,
IEEE Transactions on Neural Networks and Learning Systems.
Yu Cao,
A. Kuskov,
Y. Krasik,
2022,
Journal of Applied Physics.
Yu Cao,
Qiqi Zhang,
Zhongtao Liu,
2023,
Current Problems in Cancer.
Yu Cao,
Y. Krasik,
J. Leopold,
2023,
Physical review. E.
Yu Cao,
Yan Luo,
Chunyang Hu,
2020,
IEEE Systems Journal.
Yu Cao,
Guangyu Wan,
Yu Cao,
2018,
Comput. Ind. Eng..
Yu Cao,
Hui Xu,
D. Qiao,
2021
.
Yu Cao,
Shuanghong Yang,
Pengcheng Zhu,
2023,
Frontiers in Bioengineering and Biotechnology.
Yu Cao,
Minkyu Kim,
Jae-sun Seo,
2019,
2017 Symposium on VLSI Circuits.
Yu Cao,
Hui Li,
Yu Cao,
2015,
Forensic science international. Genetics.
Yu Cao,
Z. Wang,
Xueying Zhao,
2016,
Forensic science international. Genetics.
Yu Cao,
C. Tschierske,
Feng Liu,
2022,
Chemistry.
Yu Cao,
P. Zhang,
Zhi‐Gang She,
2023,
Journal of breath research.
Yu Cao,
Xiang Gao,
Ronghua Wang,
2012,
IEEE Electron Device Letters.
Huili Grace Xing,
Ronghua Wang,
O. Laboutin,
2013,
2013 IEEE International Electron Devices Meeting.
Yu Cao,
Zongyang Hu,
Ronghua Wang,
2013,
IEEE Electron Device Letters.
Yu Cao,
Patrick Fay,
Zongyang Hu,
2012
.
Huanbo Luan,
Chong-Wah Ngo,
Yu Cao,
2017,
ACM Multimedia.
Yuru Cao,
Jonathan S. Lindsey,
Ann E. Norcross,
2020,
BiOS.
Dong Seup Lee,
Yu Cao,
E. Beam,
2012,
IEEE Electron Device Letters.
Yu Cao,
Ronghua Wang,
O. Laboutin,
2011,
IEEE Electron Device Letters.
Yu Cao,
G. Snider,
D. Jena,
2013
.
Yu Cao,
D. Jena,
H. Xing,
2012,
IEEE Electron Device Letters.
Yu Cao,
Chen Chen,
Shun Yao,
2023,
Environmental research.
Yu Cao,
Junhong Lü,
Xue Gao,
2023,
MedComm.
Yu Cao,
M. Chan,
Jin He,
2013,
2013 IEEE International Conference of Electron Devices and Solid-state Circuits.
Yibin Li,
Xin Ma,
Ming Liu,
2016,
2016 IEEE International Conference on Real-time Computing and Robotics (RCAR).
Yu Cao,
Liyan Guo,
Sheng Lin,
2023,
Signal transduction and targeted therapy.
I. Esqueda,
Yu Cao,
Chongwu Zhou,
2015
.
Yu Cao,
Shun Feng,
Xiaopei Tan,
2023,
Journal of biomaterials science. Polymer edition.
Yu Cao,
B. Hai,
Yeying Wang,
2018,
Iranian journal of basic medical sciences.
Wenshuo Wang,
Lai Wei,
Bing Jia,
2020,
IEEE Access.
Yu Cao,
Hongyu Zhang,
Sun Ding,
2014,
ASE.
Steven D. Blostein,
Yu Cao,
Wai-Yip Chan,
2015,
EURASIP J. Wirel. Commun. Netw..
Yu Cao,
Yang Yu,
Weiguang Shi,
2019,
Sensors.
Yu Cao,
Sanqing Hu,
Wanzeng Kong,
2013,
Neural Computing and Applications.
Xinyi Yang,
Yu Cao,
M. Enikeev,
2023,
Frontiers in Oncology.
J. Yang,
Yu Cao,
Lei Rong,
2020,
2020 Chinese Automation Congress (CAC).
Yu Cao,
Hongyan Dong,
Fanbo Jing,
2018,
Oncology letters.
Yu Cao,
G. He,
Fusheng Pan,
2023,
Nano-Micro Letters.
R. Friend,
Yu Cao,
Wei Huang,
2018,
Nature Communications.
Sunil Q. Mehta,
P. Hiesinger,
P. Verstreken,
2005
.
Yu Cao,
M. Lei,
Didi Chen,
2012,
Biotechnology Letters.
Yu Cao,
Jianfeng Lu,
Yu Cao,
2019,
Quantum.
Yu Cao,
Gang Liu,
Xingchen Zhang,
2023,
2023 2nd International Conference on Innovations and Development of Information Technologies and Robotics (IDITR).
Yu Cao,
Jia-Li Li,
H. Nie,
2017
.
Yu Cao,
Liping Wang,
S. Diao,
2017,
Cellular & Molecular Biology Letters.
Yu Cao,
Chao Zuo,
Jiaming Qian,
2023,
eLight.
Yu Cao,
Yingying Qin,
G. Yan,
2021,
EBioMedicine.
Chaitali Chakrabarti,
Yu Cao,
Chengen Yang,
2017,
IEEE Transactions on Multi-Scale Computing Systems.
Yu Cao,
O. Gaidai,
Fang Wang,
2023,
Global challenges.
Yu Cao,
O. Gaidai,
Stas Loginov,
2023,
Current problems in cardiology.
Yu Cao,
X. Sun,
Xiaohui Wang,
2023,
Geotechnical and Geological Engineering.
Sven J. Dickinson,
Yu Cao,
Sanja Fidler,
2012,
2012 IEEE Conference on Computer Vision and Pattern Recognition.
Yu Cao,
Ya-rong He,
Z. Zeng,
2015,
Molecular & Cellular Toxicology.
Yu Cao,
R. Feng,
Jie Zhang,
2010,
Zhonghua jie he he hu xi za zhi = Zhonghua jiehe he huxi zazhi = Chinese journal of tuberculosis and respiratory diseases.
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
IEEE Transactions on Nanotechnology.
Yu Cao,
L. Yee,
G. Stoner,
2012,
Journal of chromatography. B, Analytical technologies in the biomedical and life sciences.
Yu Cao,
Feng Li,
Yi Li,
2020,
Environmental Management.
Yu Cao,
B. Prabhakaran,
Ming Li,
2013,
BODYNETS.
Yu Cao,
Songqing Chen,
Yu Cao,
2015
.
Yu Cao,
Hui Xu,
D. Qiao,
2011,
Journal of phycology.
Yu Cao,
Sanqing Hu,
Jianhai Zhang,
2016,
Sensors.
Yu Cao,
H. Ju,
Haifeng Dong,
2016,
ACS Applied Materials and Interfaces.
Yu Cao,
D. Jena,
P. Fay,
2008,
IEEE Electron Device Letters.
Yu Cao,
Nam Sung Kim,
Hamid Reza Ghasemi,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Yu Cao,
Yung-Fu Wu,
S. Yougbaré,
2022,
Journal of Energy Storage.
Yu Cao,
Xiaoming Fu,
Mingwei Xu,
2013,
CoNEXT.
Yu Cao,
Jian Li,
Haohao Dong,
2023,
Emerging microbes & infections.
Yu Cao,
Weimeng Si,
Jiao Li,
2023,
Molecules.
Yu Cao,
Xinliang Feng,
Renhao Dong,
2023,
The Journal of Physical Chemistry C.
Yu Cao,
Ming Yang,
Shaojie Zhang,
2023,
Small.
Yu Wang,
Shimeng Yu,
Yu Cao,
2015,
The 20th Asia and South Pacific Design Automation Conference.
Yu Cao,
Feng Liu,
G. Ungar,
2023,
Chemistry – A European Journal.
Yu Cao,
Xiaochuan Sun,
Shanquan Sun,
2023,
Heliyon.
Yu Cao,
Xin Wang,
Jia Bao,
2016
.
Yu Cao,
Huili Grace Xing,
Kazuki Nomoto,
2015,
IEEE Electron Device Letters.
Yu Cao,
P. Hu,
H. Ren,
2023,
Journal of medical virology.
Yu Cao,
Xiangyue Meng,
Shuo Zhang,
2023,
Medicine.
Yu Cao,
Haifeng Wang,
2019,
BMC oral health.
Yu Cao,
Kun Yan,
Donghua Hu,
2022,
2022 22nd International Conference on Control, Automation and Systems (ICCAS).
Yu Cao,
Ronghua Wang,
Xiu Xing,
2010,
IEEE Electron Device Letters.
Yu Cao,
J. Ouyang,
Lu Xie,
2018,
Forensic science international. Genetics.
Yuquan Wei,
Yu Cao,
G. Gao,
2018,
Nature Communications.
Yu Cao,
Ping Li,
Yanping Liu,
2015,
Cell Biochemistry and Biophysics.
Yu Cao,
Xiaoyan Guo,
Zhe Dong,
2015,
2015 International Conference on Cloud Computing and Big Data (CCBD).
Yu Cao,
H. Tian,
Cheng-jia Tan,
2023,
Proteome Science.
Yu Cao,
Baofeng Yang,
Chuanlu Jiang,
2016,
Oncotarget.
Yu Cao,
Yongluan Zhou,
Kaiji Chen,
2015,
EDBT.
Yu Cao,
L. Nyborg,
J. Svensson,
2013
.
Yu Cao,
Ivan I. Kravchenko,
Stephen J. Pearton,
2013
.
Yu Cao,
Ming Zhao,
Ren Guo,
2019,
Clinical Epigenetics.
Yu Cao,
Xia Li,
Liu Yang,
2023,
Oncology letters.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018,
Theranostics.
Yu Cao,
Fei Xiao,
Jun Xu,
2019,
Int. J. Circuit Theory Appl..
Yu Cao,
Jiaoyan Ren,
Yuanhui Zhao,
2019,
Marine drugs.
Yu Cao,
M. Lei,
H. Yao,
2016,
Biotechnology Letters.
Yu Cao,
Jie Yu,
J. Chen,
2011
.
Yu Cao,
Hongxuan He,
Peiguang Zhao,
2013,
Biological Trace Element Research.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018,
Chemical science.
Yu Cao,
L. Nyborg,
Seshendra Karamchedu,
2022,
Journal of Materials Research and Technology.
Yu Cao,
S. Pan,
Zhanhui Du,
2023,
Frontiers in Bioengineering and Biotechnology.
Yu Cao,
Wangzi Xu,
Lin Wu,
2023,
International journal of environmental research and public health.
Yu Cao,
Yu Cao,
2010
.
Yu Cao,
Dai Wang,
Honghao Ma,
2023,
Frontiers in microbiology.
Yu Cao,
Yiyue Zhang,
Qiang Wang,
2022,
Development.
Yu Cao,
Y. Xia,
Qin Qin,
2017,
Journal of critical care.
Yu Cao,
X. X. Zhang,
Y. Xia,
2013,
Molecular Biology Reports.
Yu Cao,
Zhuoran Liu,
Guanwen Huang,
2023,
Social Science Research Network.
Yu Cao,
D. Jena,
H. Xing,
2010
.
Yu Cao,
N. Wang,
Lina Liu,
2023,
Quaternary Science Reviews.
Yu Cao,
E. Lau,
B. Cowling,
2015,
American journal of epidemiology.
Yu Cao,
Wei Li,
Zhi-Zhong Li,
2023,
Annals of botany.
Kaushik Roy,
Yu Cao,
Ethan H. Cannon,
2018,
Microelectron. Reliab..
Yu Cao,
Hang Wu,
Wei Li,
2023,
Environmental Science and Pollution Research.
Yu Cao,
C. Liang,
P. Zhou,
2020,
Mitochondrion.
Yu Cao,
P. Zhou,
Zhiguo Zhang,
2020,
Journal of Assisted Reproduction and Genetics.
Yu Cao,
Weimeng Si,
Qi Wang,
2023,
Crystals.
Yu Cao,
K. Sutaria,
J. Velamala,
2012,
2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.
Yu Cao,
C. Liang,
Yinan Du,
2023,
Journal of Assisted Reproduction and Genetics.
Yu Cao,
S. Kershaw,
Jianfeng Zheng,
2023,
Journal of Asian Earth Sciences.
Yu Cao,
Zuowan Zhou,
Xiaoling Xu,
2023,
Langmuir : the ACS journal of surfaces and colloids.
Yu Cao,
Xiaoyan Zeng,
M. Gao,
2010
.
Yu Cao,
F. Tao,
Kun Huang,
2023,
The Science of the total environment.
Yu Cao,
Yan Han,
Ling-xiao Wang,
2018,
Journal of Biomaterials and Tissue Engineering.
Yu Wang,
Narayanan Vijaykrishnan,
Yu Cao,
2009,
International Journal of Parallel Programming.
Yu Wang,
Narayanan Vijaykrishnan,
Yu Cao,
2009,
2009 Asia and South Pacific Design Automation Conference.
Yu Cao,
Feng Zhang,
Z. Wu,
2013
.
I. Esqueda,
Yu Cao,
Chongwu Zhou,
2014
.
Yu Cao,
D. Fan,
W. Xue,
2019
.
Yu Cao,
Runsheng Chen,
Youguo Huang,
2008,
Proteins.
Yu Cao,
Yun Ye,
Chi-Chao Wang,
2012,
Microelectron. J..
Yu Cao,
X. Zou,
Guifang Xu,
2016,
PloS one.
Yu Cao,
Yi Cao,
W. Qiu,
2023,
Journal of Alloys and Compounds.
Yu Cao,
Yinxiang Xu,
Zhen Li,
2023,
Plant Physiology.
Yu Cao,
Yali Zhao,
Huanhuan Shi,
2022,
Medical engineering & physics.
Yu Cao,
Fusheng Pan,
Yuhan Wang,
2022,
Journal of Membrane Science.
Yu Cao,
Guang Xin,
A. Wen,
2023,
International journal of molecular sciences.
Yu Wang,
Yu Cao,
Xiaoming Chen,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Guanghua Li,
Q. Huo,
Yu Cao,
2015
.
Chan Hyuk Kim,
P. Schultz,
Yu Cao,
2017,
Journal of the American Chemical Society.
Yu Cao,
S. Maberly,
B. Gontero,
2017,
Journal of experimental botany.
Yu Cao,
Yu Cao,
2011
.
Steven D. Blostein,
Yu Cao,
Wai-Yip Chan,
2010,
2010 IEEE International Symposium on Information Theory.
Steven D. Blostein,
Yu Cao,
Wei Sheng,
2010,
2010 IEEE International Conference on Communications.
Steven D. Blostein,
Yu Cao,
Yu Cao,
2009,
2009 11th Canadian Workshop on Information Theory.
Sven J. Dickinson,
Yu Cao,
Jeffrey Mark Siskind,
2013,
2013 IEEE Conference on Computer Vision and Pattern Recognition.
Yu Cao,
M. Zhong,
Wangzi Xu,
2023,
Frontiers in Immunology.
Yu Cao,
C. Tschierske,
M. Prehm,
2016,
Angewandte Chemie.
Yu Cao,
Datian Ye,
Qin Gong,
2004,
2004 2nd IEEE/EMBS International Summer School on Medical Devices and Biosensors.
Yu Cao,
Ian A. Meinertzhagen,
Thomas R. Clandinin,
2006,
Current Biology.
Yu Cao,
Lin Zhang,
Z. Zeng,
2018,
Clinical chemistry and laboratory medicine.
Yu Cao,
A. Foster,
Lei Yang,
2020
.
Yu Cao,
Qingsong Li,
Liang Chen,
2017,
Neurochemical Research.
Yu Cao,
L. Nyborg,
S. Guo,
2023,
Surface and Interface Analysis.
Yu Cao,
Yinji Ma,
Xue Feng,
2018,
Science China Information Sciences.
Yu Cao,
S. Shu,
C. Xia,
2016
.
Yu Cao,
M. Beklioğlu,
E. Jeppesen,
2018,
European journal of protistology.
Jan M. Rabaey,
Yu Cao,
Dejan Markovic,
2004,
International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
Yu Cao,
Y. Li,
Zhuo Zhang,
2023,
Molecules.
Yu Cao,
A. Gaidhane,
Ziyao Yang,
2023,
Solid-State Electronics.
Yu Cao,
Dechang Li,
B. Ji,
2023,
ACS Materials Letters.
Yu Cao,
Chao Zuo,
Jiaming Qian,
2023,
International Conference on Optical and Photonic Engineering.
Yu Cao,
Chao Zuo,
Jiaming Qian,
2022,
SPIE/COS Photonics Asia.
Yu Cao,
Sarvesh Bhardwaj,
Sarma B. K. Vrudhula,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Cao,
Sarvesh Bhardwaj,
Sarma B. K. Vrudhula,
2006,
IEEE Custom Integrated Circuits Conference 2006.
Yu Cao,
Matthew McNabb,
Thomas Devlin,
2012,
2012 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.
Yu Cao,
Hao Tian,
P. Mok,
2023,
ArXiv.
Q. Huo,
Yu Cao,
Yunlin Liu,
2014
.
Jian Huang,
Yu Cao,
Cheng Chen,
2018,
2018 IEEE-RAS 18th International Conference on Humanoid Robots (Humanoids).
Yu Cao,
Qing Wu,
Dan Su,
2023,
Land Use Policy.
Yu Cao,
Frank Liu,
Gouranga Charan,
2019,
2019 18th IEEE International Conference On Machine Learning And Applications (ICMLA).
Yu Cao,
Wei Xue,
Dehua Zhu,
2023,
Photonics.
Yu Cao,
D. Jena,
J. Simon,
2010
.
Yu Cao,
Qing Wu,
Dan Su,
2023,
Journal of Geographical Sciences.
Yu Cao,
Dehua Xu,
Fengzhao Yang,
2023,
International Transactions in Operational Research.
Xiao Fan Liu,
P. Holme,
M. Lachmann,
2023,
STAR Protocols.
Yu Cao,
Jing Chen,
Emtiyaz Khan,
2022
.
Yu Cao,
Takahiro Kawaguchi,
Yudai Ikenoya,
2023,
Sensors.
Yu Cao,
Jeng-Shyang Pan,
P. Liang,
2023,
Frontiers in Neuroscience.
Yu Cao,
Hui Liu,
Rui-qian Zhang,
2023,
Journal of Materials Research and Technology.
Yu Cao,
Y. Men,
Yongliang Huang,
2022,
Xibei Gongye Daxue Xuebao/Journal of Northwestern Polytechnical University.
Yu Cao,
Visar Berisha,
Ming Tu,
2016,
2016 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Carl Yang,
Yu Cao,
L. Chen,
2023,
KDD.
Carl Yang,
Yu Cao,
Lei Chen,
2023,
ArXiv.
Yu Cao,
Chenxu Yu,
Jinfeng Pan,
2015
.
Yu Cao,
Didi Chen,
Min Liu,
2014
.
Yu Cao,
H. Kageyama,
E. Abou‐hamad,
2022,
Angewandte Chemie.
Yu Cao,
Tao Wang,
Juan Li,
2022,
Disease markers.
Yu Cao,
Shenqi Wang,
G. Bai,
2006,
Journal of chromatography. B, Analytical technologies in the biomedical and life sciences.
Yu Cao,
Siyu Zhao,
Yuting Qin,
2022,
Food chemistry.
Yu Cao,
Q. Liu,
G. Huang,
2023,
Materials Science and Engineering: A.
Yu Cao,
W. Wang,
Chenliang Wang,
2019,
Zhongguo xiu fu chong jian wai ke za zhi = Zhongguo xiufu chongjian waike zazhi = Chinese journal of reparative and reconstructive surgery.
Yu Cao,
Zhigang Wu,
Jiaqi Zhu,
2023,
Science China Technological Sciences.
Yu Cao,
O. Gaidai,
Y. Xing,
2023,
Scientific Reports.
Yu Cao,
O. Gaidai,
Y. Xing,
2023,
International Journal of Naval Architecture and Ocean Engineering.
Jianping Gao,
Yan Feng,
Yu Cao,
2007
.
Yu Cao,
Bin Wang,
Li Zhou,
2023,
Journal of Materials Research and Technology.
Yu Cao,
Z. Jiang,
Shiyuan Tang,
2015,
Pediatric emergency care.
Wei Lu,
Yu Cao,
Qianqian He,
2023,
Analytical chemistry.
Xinyi Yang,
Yu Cao,
Kebang Hu,
2023,
Heliyon.
Yu Cao,
Yue Cheng,
Xiao-Dong Zhang,
2022,
Acta radiologica.
Yu Cao,
Xia Li,
Liu Yang,
2023,
Medicine.
Yu Cao,
Yu Ning,
Xuanzhe Zhang,
2017,
Applied optics.
Yu Cao,
Xuanzhe Zhang,
Zejin Liu,
2016,
Applied optics.
Yu Cao,
2020
.
Yu Cao,
Caroline Betts,
2023,
SSRN Electronic Journal.
Yu Cao,
Peng Wang,
Shaohua Zhang,
2016,
2016 IEEE International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, Physical and Social Computing (CPSCom) and IEEE Smart Data (SmartData).
Yu Cao,
Huan Zhao,
Junhua Zhao,
2023,
Quantum Machine Intelligence.
Yu Cao,
Hongyang Bai,
Ke Jin,
2023,
Electronics.
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2015,
2015 33rd IEEE International Conference on Computer Design (ICCD).
Yu Cao,
Xiaowei Liu,
Shuijiao Chen,
2021,
International Conference on Machine Learning and Applications.
Yu Cao,
Jung-Hwan Oh,
Wallapak Tavanapong,
2004,
MULTIMEDIA '04.
Yu Cao,
Jung-Hwan Oh,
Wallapak Tavanapong,
2004,
CIVR.
Yu Cao,
Jung-Hwan Oh,
Wallapak Tavanapong,
2004,
2004 IEEE International Conference on Multimedia and Expo (ICME) (IEEE Cat. No.04TH8763).
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yu Cao,
Minhui Xue,
Ruoxi Sun,
2022,
2023 IEEE Symposium on Security and Privacy (SP).
Yu Cao,
Jiong Jiang,
Peng Liu,
2007,
SPIE Advanced Lithography.
Yu Cao,
Yi-Ping Hu,
Kai-Jun Ma,
2009,
Fa yi xue za zhi.
Yu Cao,
F. Chen,
Xun Zhang,
2022,
Frontiers in Medicine.
Yu Cao,
Huanyu Cui,
Fulin Qi,
2023,
Environmental Science and Pollution Research.
Yu Cao,
Xiaoming Fu,
Mingwei Xu,
2019,
Comput. Networks.
Yu Cao,
Wan-tie Wang,
Lina Lin,
2022,
Journal of investigative surgery : the official journal of the Academy of Surgical Research.
Qingquan Li,
Yu Cao,
Chuanhe Huang,
2014,
Pattern Recognit. Lett..
Yu Cao,
E. Beam,
Chunlei Wu,
2022,
2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).
Yu Cao,
Dehua Zhu,
Wenwen Liu,
2022,
Optics & Laser Technology.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018,
Chemical science.
Yu Cao,
Haifeng Dong,
Xueji Zhang,
2018,
Chemical science.
Yu Cao,
Zaili Yang,
Zhengjiang Liu,
2023,
Ocean Engineering.
Yu Cao,
Wenping Wang,
Shengqi Yang,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Yu Cao,
S. Blanton,
G. Brewer,
1997,
American journal of veterinary research.
Yu Cao,
Haojun Jiang,
Gao Huang,
2022,
2023 IEEE/CVF International Conference on Computer Vision (ICCV).
Yu Cao,
X. Mao,
Y. C. Cao,
2016,
Genetics and molecular research : GMR.
Yu Cao,
Steve Read,
Sachin Raka,
2008,
2008 IEEE International Conference on Networking, Sensing and Control.
Yu Cao,
Yongrong Yang,
Jingdai Wang,
2023,
Journal of Catalysis.
Y. Liu,
Yu Cao,
Xia Zhou,
2018
.
Yu Cao,
S. Maghsudi,
Tomoaki,
2021,
IEEE Transactions on Communications.
Yu Cao,
Hong Yu,
Chun Yang,
2017,
2017 14th IAPR International Conference on Document Analysis and Recognition (ICDAR).
Jia Wang,
Yu Cao,
Benyuan Liu,
2018,
2018 17th IEEE International Conference on Machine Learning and Applications (ICMLA).
Yu Cao,
Wenjia Yang,
Can Li,
2019,
International Journal of Tropical Insect Science.
Yu Cao,
Wenjia Yang,
Can Li,
2019,
International Journal of Tropical Insect Science.
Yu Cao,
M. A. Qyyum,
Kuizhao Sun,
2023,
International Journal of Hydrogen Energy.
Yu Cao,
Paul Saunier,
Michael Schuette,
2013,
71st Device Research Conference.
Yu Cao,
D. Jena,
H. Xing,
2009,
2009 Device Research Conference.
Yu Cao,
K. Guo,
Zhengyang Li,
2023,
Process Safety and Environmental Protection.
Yu Cao,
Y. Huang,
Y. Huang,
2007,
Brazilian journal of medical and biological research = Revista brasileira de pesquisas medicas e biologicas.
Yu Cao,
Fan Zhang,
Min Wang,
2023,
Animal Feed Science and Technology.
M. Doeff,
M. Ge,
Yu Cao,
2017
.
Yu Cao,
Zhenghong Yu,
Haoran Jiang,
2023,
ArXiv.
Stephen P. Boyd,
Yu Cao,
Robert W. Dutton,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yu Cao,
Xiaoqian Fang,
Guoyu Li,
2022,
The Science of the total environment.
Yu Cao,
David J. Meyer,
Neeraj Nepal,
2020,
2020 Device Research Conference (DRC).
Yu Cao,
Desheng Dash Wu,
Xiaohong Chen,
2011,
Expert Syst. Appl..
Yi Huang,
Shide Song,
Lujia Yang,
2016
.
Yu Cao,
Yu Cao,
2012,
Expert Syst. Appl..
Yu Cao,
Yu Cao,
2012,
Expert Syst. Appl..
Yu Cao,
Wei Zhao,
Tarun Sairam,
2007,
GLSVLSI '07.
Yu Cao,
Sanqing Hu,
Ming Li,
2009,
2009 IEEE International Conference on Semantic Computing.
Yu Cao,
Nannan Ding,
Peng Huang,
2018
.
Shimeng Yu,
Yu Cao,
Visar Berisha,
2017,
Neurocomputing.
Yu Cao,
Hui Wang,
Sanqing Hu,
2016,
IEEE Transactions on Neural Networks and Learning Systems.
Yu Cao,
Ziyue Zhou,
Kai Zong,
2023,
Journal of Molecular Liquids.
Yu Cao,
Jianfeng Lu,
Lihan Wang,
2019,
Archive for Rational Mechanics and Analysis.
Yu Cao,
Jingrui He,
Jae-sun Seo,
2016,
2016 IEEE 16th International Conference on Data Mining (ICDM).
Sumit K. Mandal,
Jae-sun Seo,
Yu Cao,
2022,
2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jan M. Rabaey,
Yu Cao,
Dejan Markovic,
2005,
Microelectron. J..
Yu Cao,
Weihai Bu,
Lei Cao,
2022,
IEEE Transactions on Electron Devices.
Yu Cao,
Lei Cao,
H. Yin,
2022,
IEEE Transactions on Electron Devices.
Min Chen,
Yu Cao,
Wei Zhao,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Yu Cao,
Dennis Sylvester,
Takashi Sato,
2000,
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
Yu Cao,
Takashi Sato,
Hiromitsu Awano,
2013,
IEEE Transactions on Electron Devices.
Yu Cao,
Sarvesh Bhardwaj,
Sarma B. K. Vrudhula,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Yu Wang,
Yu Cao,
Xiaoming Chen,
2013,
IEEE Design & Test.
Yu Cao,
Lina J. Karam,
Tejas S. Borkar,
2018,
2018 IEEE Conference on Multimedia Information Processing and Retrieval (MIPR).
Yu Cao,
P. Panda,
Abhiroop Bhattacharjee,
2022,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yu Cao,
R. Joshi,
N. Cady,
2022,
IEEE International Conference on Solid-State and Integrated Circuit Technology.
Yu Cao,
Sani R. Nassif,
Yun Ye,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Yu Cao,
Hui Xu,
D. Qiao,
2023,
Bioresource technology.
Yu Cao,
M. Downes,
R. Yu,
2023,
Research square.
Yu Cao,
Xu Wang,
Dezhong Peng,
2023,
Knowl. Based Syst..
Yu Cao,
Q. Fang,
Jian-wei Wang,
2019,
Analytical chemistry.
Yu Cao,
Sheng Lin,
B. He,
2019,
Virology.
Ahmed Imran Hunjra,
Yu Cao,
Shikuan Zhao,
2023,
International Review of Economics & Finance.
Yu Cao,
Huanyu Cui,
2023,
Energy.
Yu Cao,
Xiaoyan Guo,
Dong Xiang,
2014,
DASFAA.
Yezhou Yang,
Yu Cao,
Qingfeng Hu,
2023,
Journal of Translational Medicine.
Yu Cao,
Bin He,
Xun Cui,
2023,
Carbon Energy.
Yu Cao,
HE H.Q.,
S. Xie,
2019
.
Shimeng Yu,
Yu Cao,
Jae-sun Seo,
2017,
2017 IEEE International Electron Devices Meeting (IEDM).
Hao Li,
Yu Cao,
Jiayu Wen,
2023,
Mediators of Inflammation.
Yu Cao,
Yu Cao,
Mingze He,
2023,
Frontiers in immunology.
Yu Cao,
Kun Qian,
Jing Cao,
2023,
Advanced science.
Yu Cao,
Chengzhen Gao,
Biru Xiao,
2022,
Human Cell.
Yu Cao,
Tinghai Cheng,
Yisong Tan,
2022,
Sustainable Energy & Fuels.
Yu Cao,
Weisi Guo,
Shuo Wang,
2023,
Drug resistance updates : reviews and commentaries in antimicrobial and anticancer chemotherapy.
Yu Cao,
Congxuan Zhang,
Huanhuan Shi,
2023,
Sensors and Actuators B: Chemical.
Yu Cao,
Qian Xu,
Zhuorui Xiao,
2023,
Nanomaterials.
Yu Cao,
Da Li,
Chang-Bin Sun,
2012,
Experimental diabetes research.
Yu Cao,
Didi Chen,
Jean Felix Mukerabigwi,
2023,
Journal of Materials Science.
Yu Cao,
X. Hou,
Feng Yang,
2023,
Perfusion.
Yu Cao,
Q. Xia,
K. Lee,
2017,
Free radical biology & medicine.
Yu Cao,
Wenjia Yang,
Can Li,
2018,
Journal of Economic Entomology.
Yu Cao,
Tianqiang Huang,
Liqing Huang,
2022,
Comput. Secur..
Yu Cao,
J. Houl,
K. J. Fogle,
2015,
Proceedings of the National Academy of Sciences.
Yu Cao,
C. Liang,
Xin Wang,
2022,
The Science of the total environment.
Yu Cao,
Yu Cao,
Zhi Wan,
2013,
The American journal of emergency medicine.
Yu Cao,
Yu Sun,
Chunxiang Zhang,
2018,
International Urology and Nephrology.
Yu Cao,
S. Sen,
I. Wistuba,
2013,
Cancer discovery.
Yu Cao,
Xiaohong Chen,
Yu Cao,
2012,
Simul. Model. Pract. Theory.
Dong Seup Lee,
Yu Cao,
E. Beam,
2013,
IEEE Electron Device Letters.
Yu Cao,
Hongzhi Wang,
Tanveer F. Syeda-Mahmood,
2015,
2015 IEEE 12th International Symposium on Biomedical Imaging (ISBI).
Yu Cao,
Yiyong Mai,
S. Che,
2023,
2306.17047.
Yu Cao,
Xuejue Huang,
Tsu-Jae King,
2002,
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
Zixing Cai,
Yu Cao,
Lingli Yu,
2011
.
Yu Cao,
Kai-yong Liu,
Zhaolian Wei,
2023,
Ecotoxicology and environmental safety.
Yu Cao,
Shi'e Yang,
Yu Cao,
2009,
2009 IEEE International Conference on Intelligent Computing and Intelligent Systems.
Y. Liu,
Yu Cao,
Dekai Wang,
2023,
Frontiers in microbiology.
Chaitali Chakrabarti,
Shimeng Yu,
Yu Cao,
2015,
2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
Yu Cao,
Zhaolong Liu,
Gang Han,
2014,
Molecular medicine reports.
Yu Cao,
Li Zhou,
Lihui Wu,
2023,
Journal of Materials Research and Technology.
Yu Cao,
Zhi Huang,
Junru Chen,
2022,
Disease markers.
Yu Cao,
Yuchi Han,
Jiayu Sun,
2019,
JACC. Cardiovascular imaging.
Yu Cao,
Zhao-Ying Liu,
Xiao-jun Chen,
2022,
Xenobiotica; the fate of foreign compounds in biological systems.
Yu Cao,
Jiawei Wu,
F. He,
2014,
Nature Communications.
Yu Cao,
R. Guo,
J. M. Zhang,
2020,
Cryo letters.
Matthew S. Tremblay,
Chan Hyuk Kim,
P. Schultz,
2015,
Journal of the American Chemical Society.
Path following for Autonomous Ground Vehicle Using DDPG Algorithm: A Reinforcement Learning Approach
Yu Cao,
Wei Jiang,
Takahiro Kawaguchi,
2023,
Applied Sciences.
Yu Cao,
R. Howell,
David H. Wei,
2019,
2019 PhotonIcs & Electromagnetics Research Symposium - Spring (PIERS-Spring).
Yu Cao,
R. Chung,
Yung-Fu Wu,
2022,
Electrochimica Acta.
Yu Cao,
Yunlong Liang,
Jiaan Wang,
2023,
ArXiv.
Yu Cao,
Sarvesh Bhardwaj,
Sarma B. K. Vrudhula,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Yu Cao,
Sanqing Hu,
Xun Li,
2012,
BICS.
Yu Cao,
C. Ngo,
W. Chan,
2023,
ArXiv.
Yu Cao,
Fuqiang Zhou,
Haishu Tan,
2018,
2018 IEEE Winter Conference on Applications of Computer Vision (WACV).
Yu Cao,
Longfei Wang,
Chang Zhou,
2023,
IEEE Robotics and Automation Letters.
Yu Cao,
Xianjun Lang,
Liang‐Nian He,
2018,
ChemSusChem.
Yu Cao,
Gaoqi Liang,
Huan Zhao,
2023,
International Journal of Electrical Power & Energy Systems.
Yu Cao,
Qi-Yue Yu,
2023,
IEEE Communications Letters.
Yu Cao,
Tao Zhang,
Steven Kavuma,
2018
.
Yu Cao,
G. S. de Hoog,
Yingqian Kang,
2016,
Fungal biology.
Steven D. Blostein,
Yu Cao,
Wai-Yip Chan,
2015,
Journal of Communications and Networks.
Yu Cao,
Saurabh Sinha,
Brian Cline,
2012,
ISLPED '12.
Yu Cao,
Sarvesh Bhardwaj,
Sarma B. K. Vrudhula,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Min Chen,
Yu Cao,
Yu Cao,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Yu Cao,
Li Luo,
Xinli Zhang,
2013
.
Yu Cao,
L. Clark,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Yu Cao,
Kan Ren,
Qian Chen,
2023,
Optik.
Yu Cao,
Yuehua Wu,
Chenxi Zhang,
2022,
IEEE International Conference on Tools with Artificial Intelligence.
Yu Cao,
A. Sakai,
Takaaki Matsumoto,
1998
.
Yu Cao,
Jing Zhou,
Jianping Ouyang,
2023,
Global Ecology and Conservation.
Yu Cao,
Ying Guo,
Jianwu Liang,
2017,
SpaCCS.
Yu Cao,
Wei Li,
Yuanyuan Chen,
2023,
Plants.
Yu Cao,
Jun Gu,
Xiaoyang Sean Wang,
2014,
WISE.
Yu Cao,
Takashi Sato,
Abinash Mohanty,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Cao,
D. Sylvester,
Xuejue Huang,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Yu Cao,
K. Berg,
E. Skarpen,
2014,
Journal of controlled release : official journal of the Controlled Release Society.
Yu Cao,
Wei Zhang,
Baikai Sui,
2022,
IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing.
Yu Cao,
P. Dapkus,
Chongwu Zhou,
2014,
Nano letters (Print).
Shimeng Yu,
Lixue Xia,
Yu Cao,
2018,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yu Cao,
Lu Yu,
Wenhao Hong,
2016,
2016 IEEE International Conference on Multimedia and Expo (ICME).
Yu Cao,
Jian-gang Zhao,
Zhaohui Wang,
2009,
Journal of environmental sciences.
Yu Cao,
Yu Cao,
Shouyao Xiong,
2014
.
Yu Cao,
Hongzhi Wang,
Tanveer F. Syeda-Mahmood,
2015,
IPMI.
Yu Cao,
Huanyu Cui,
Meng Yuan,
2022,
Environmental science and pollution research international.
Yu Cao,
Zhigang Chen,
Xiaowang Lu,
2017,
Dalton transactions.
Yu Cao,
Xueying Zhao,
K. Ma,
2017,
Forensic science international. Genetics.
Yu Cao,
M. Eddleston,
Xuezhong Yu,
2006,
BMC emergency medicine.
Yu Cao,
H. Ju,
Haifeng Dong,
2016,
ACS applied materials & interfaces.
Y. Kaneda,
Yu Cao,
S. Yamashita,
2000,
Human gene therapy.
Yu Cao,
Sarma Vrudhula,
Jae-sun Seo,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Shimeng Yu,
Yu Cao,
Yu Wang,
2015,
2015 IEEE International Electron Devices Meeting (IEDM).
Yu Cao,
L. Xiao,
Bi-kui Zhang,
2018,
Die Pharmazie.