Lawrence T. Pileggi

发表

Lawrence T. Pileggi, Ronald A. Rohrer, 1988, DAC '88.

Hyun Ah Song, Christos Faloutsos, Lawrence T. Pileggi, 2017, 2017 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe).

Lawrence T. Pileggi, Michael W. Beattie, 1999, DAC '99.

Lawrence T. Pileggi, E. Malley, A. Salinas, 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

Andrzej J. Strojwas, Lawrence T. Pileggi, Tejas Jhaveri, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Jonathan Proesel, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Lawrence T. Pileggi, Ronald A. Rohrer, Xueqing Zhang, 1989, 26th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Xin Li, Tamal Mukherjee, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Salil Raje, Lawrence T. Pileggi, Padmini Gopalakrishnan, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Michael W. Beattie, Hui Zheng, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Lawrence T. Pileggi, Renzhi Liu, Jeffrey A. Weldon, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Xin Li, Lawrence T. Pileggi, Jiayong Le, 2007, Found. Trends Electron. Des. Autom..

Lawrence T. Pileggi, Rohini Gupta, 1994, 31st Design Automation Conference.

Ross Baldick, Lawrence T. Pileggi, Noel Menezes, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Ronald A. Rohrer, Xueqing Huang, 1989, 26th ACM/IEEE Design Automation Conference.

Stephen P. Boyd, Xin Li, Yang Xu, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Lawrence T. Pileggi, Altan Odabasioglu, Mustafa Celik, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Hyun Ah Song, Christos Faloutsos, Lawrence T. Pileggi, 2017, ECML/PKDD.

Matthias Althoff, Bruce H. Krogh, Lawrence T. Pileggi, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Lawrence T. Pileggi, Emrah Acar, Florentin Dartu, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Xin Li, Yang Xu, Lawrence T. Pileggi, 2004, Proceedings. 41st Design Automation Conference, 2004..

Lawrence T. Pileggi, Padmini Gopalakrishnan, Aneesh Koorapaty, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Lawrence T. Pileggi, Herman Schmit, Chetan Patel, 2003, ISPD '03.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Franz Franchetti, Lawrence T. Pileggi, Kaushik Vaidyanathan, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Gabriela Hug, Xin Li, Lawrence T. Pileggi, 2016, 2016 IEEE Green Energy and Systems Conference (IGSEC).

Lawrence T. Pileggi, Xin Li, Yaping Zhan, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Ken Mai, Xin Li, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Franz Franchetti, Lawrence T. Pileggi, Tobias Graf, 2013, 2013 IEEE High Performance Extreme Computing Conference (HPEC).

Franz Franchetti, Mark Horowitz, Lawrence T. Pileggi, 2012, 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors.

Lawrence T. Pileggi, Florentin Dartu, Bogdan Tutuianu, 1996, DAC '96.

Lawrence T. Pileggi, Xin Li, Soner Yaldiz, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

James A. Bain, Lawrence T. Pileggi, Abhishek A. Sharma, 2015, 2015 IEEE 6th Latin American Symposium on Circuits & Systems (LASCAS).

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Bodhisatwa Sadhu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Jian Wang, Lawrence T. Pileggi, Xin Li, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Andrzej J. Strojwas, Lawrence T. Pileggi, Herman Schmit, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Tao Lin, Lawrence T. Pileggi, L. Pileggi, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Ross Baldick, Lawrence T. Pileggi, Noel Menezes, 1995, ICCAD.

Gabriela Hug, Lawrence T. Pileggi, Marko Jereminov, 2017, 2017 IEEE Power & Energy Society General Meeting.

Kunle Olukotun, Franz Franchetti, Jan M. Rabaey, 2015, Computer.

Tao Lin, Lawrence T. Pileggi, Michael W. Beattie, 2002, DAC '02.

Gabriela Hug, Lawrence T. Pileggi, Marko Jereminov, 2018, 2018 Power Systems Computation Conference (PSCC).

Lawrence T. Pileggi, Rony Kay, L. Pileggi, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Davide Pandini, Andrzej J. Strojwas, Lawrence T. Pileggi, 2002, ISPD '02.

Umut Arslan, Lawrence T. Pileggi, Xin Li, 2009, 2009 10th International Symposium on Quality Electronic Design.

Lawrence T. Pileggi, Rohini Gupta, Seok-Yoon Kim, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Mustafa Celik, Zhijiang He, 1997, DAC.

Lawrence T. Pileggi, Rohini Gupta, Seok-Yoon Kim, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Rob A. Rutenbar, Lawrence T. Pileggi, Gary Ellis, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Andrzej J. Strojwas, Lawrence T. Pileggi, Xin Li, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Yangdong Deng, Lawrence T. Pileggi, Peng Li, 2005, 2005 International Conference on Computer Design.

Hyun Ah Song, Christos Faloutsos, Lawrence T. Pileggi, 2018, ECML/PKDD.

Lawrence T. Pileggi, Marko Jereminov, Amritanshu Pandey, 2018, 2018 IEEE International Conference on Probabilistic Methods Applied to Power Systems (PMAPS).

Lawrence T. Pileggi, Tao Lin, 2002, ICCAD 2002.

Lawrence T. Pileggi, Noel Menezes, Satyamurthy Pullela, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Renzhi Liu, Jeffrey A. Weldon, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Lawrence T. Pileggi, Satyamurthy Pullela, Jessica Qian, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Xin Li, Tamal Mukherjee, 2012, DAC Design Automation Conference 2012.

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2013, IEEE Journal of Solid-State Circuits.

Lawrence T. Pileggi, Peng Li, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Brian Taylor, Lawrence T. Pileggi, Xin Li, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Tze Meng Low, Franz Franchetti, James C. Hoe, 2018, 2018 IEEE High Performance extreme Computing Conference (HPEC).

Lawrence T. Pileggi, Peng Li, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Lawrence T. Pileggi, Xin Li, Peng Li, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Lawrence T. Pileggi, Herman Schmit, Vikas Chandra, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Lawrence T. Pileggi, Seok-Yoon Kim, Nanda Gopal, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Franz Franchetti, Lawrence T. Pileggi, Christian R. Berger, 2013, J. Signal Process. Syst..

Lawrence T. Pileggi, Renzhi Liu, Kaushik Vaidyanathan, 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Lawrence T. Pileggi, Michael W. Beattie, Byron Krauter, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Joseph T. Rahmeh, Dah-Cherng Yuan, 1993, 30th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Xin Li, Jun Tao, 2014, 2014 IEEE Globecom Workshops (GC Wkshps).

Lawrence T. Pileggi, Marko Jereminov, Amritanshu Pandey, 2019, ArXiv.

Lawrence T. Pileggi, Ravishankar Arunachalam, Karthik Rajagopal, 2000, Proceedings 37th Design Automation Conference.

Lawrence T. Pileggi, Emrah Acar, Altan Odabasioglu, 1999, Proceedings Ninth Great Lakes Symposium on VLSI.

Lawrence T. Pileggi, David M. Bromberg, Daniel Morris, 2012, DAC Design Automation Conference 2012.

Lawrence T. Pileggi, Xin Li, Padmini Gopalakrishnan, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Gabriela Hug, Lawrence T. Pileggi, Marko Jereminov, 2018, ArXiv.

Lawrence T. Pileggi, 1995, ICCAD.

Lawrence T. Pileggi, Michael W. Beattie, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Ying Liu, Sani R. Nassif, Lawrence T. Pileggi, 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

Lawrence T. Pileggi, Jeyanandh Paramesh, Shaolong Liu, 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

Lawrence T. Pileggi, Ravishankar Arunachalam, Paul D. Gross, 1998, ICCAD.

Lawrence T. Pileggi, Michael W. Beattie, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, R. D. Blanton, Ravishankar Arunachalam, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Tao Lin, Lawrence T. Pileggi, Emrah Acar, 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

Lawrence T. Pileggi, Altan Odabasioglu, Mustafa Celik, 1997, ICCAD 1997.

Lawrence T. Pileggi, Michael W. Beattie, 1997, DAC.

Lawrence T. Pileggi, Noel Menezes, Florentin Dartu, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Peng Li, Mehdi Asheghi, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Samuel Nascimento Pagliarini, Lawrence T. Pileggi, Thomas C. Jackson, 2018, 2018 IEEE International Conference on Rebooting Computing (ICRC).

Lawrence T. Pileggi, Rony Kay, Gennady Bucheuv, 1997, ISPD '97.

Lawrence T. Pileggi, Xin Li, Jiayong Le, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Andrzej J. Strojwas, Lawrence T. Pileggi, Tejas Jhaveri, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Altan Odabasioglu, Mustafa Celik, 1998, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Lawrence T. Pileggi, Ronald A. Rohrer, L. Pileggi, 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Hyun Ah Song, Christos Faloutsos, Lawrence T. Pileggi, 2018, SDM.

Ying Liu, Sani R. Nassif, Andrzej J. Strojwas, 2000, DAC.

Lawrence T. Pileggi, Xiaodong Yang, Sangwoo Kim, 2003, GLSVLSI '03.

Lawrence T. Pileggi, Nanda Gopal, Curtis L. Ratzlaff, 1991, 28th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Florentin Dartu, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Xin Li, Yang Xu, Lawrence T. Pileggi, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Lawrence T. Pileggi, Rohini Gupta, Bogdan Tutuianu, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Davide Pandini, Andrzej J. Strojwas, Lawrence T. Pileggi, 2003, GLSVLSI '03.

Stephen P. Boyd, Xin Li, Yang Xu, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Xin Li, Jiayong Le, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Noel Menezes, Martin D. F. Wong, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Franz Franchetti, Lawrence T. Pileggi, Christian R. Berger, 2012, 2012 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Umut Arslan, Lawrence T. Pileggi, Ken Mai, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Lawrence T. Pileggi, Rohini Gupta, John Willis, 1998, IEEE Trans. Very Large Scale Integr. Syst..

Lawrence T. Pileggi, Noel Menezes, Florentin Dartu, 1994, 31st Design Automation Conference.

Lawrence T. Pileggi, Rohini Gupta, Byron Krauter, 1995, 32nd Design Automation Conference.

Franz Franchetti, Lawrence T. Pileggi, Qiuling Zhu, 2012, VLSI-SoC.

Rob A. Rutenbar, Yu Cao, Kenneth L. Shepard, 2008, Proceedings of the IEEE.

Franz Franchetti, James C. Hoe, Lawrence T. Pileggi, 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

Franz Franchetti, Lawrence T. Pileggi, Renzhi Liu, 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Lawrence T. Pileggi, Xin Li, Gökçe Keskin, 2006, IEEE Custom Integrated Circuits Conference 2006.

Lawrence T. Pileggi, Rony Kay, L. Pileggi, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Michael W. Beattie, 2001, DATE '01.

Lawrence T. Pileggi, Michael W. Beattie, Satrajit Gupta, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Lawrence T. Pileggi, Michael W. Beattie, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Lawrence T. Pileggi, Xin Li, Tamal Mukherjee, 2015, The 20th Asia and South Pacific Design Automation Conference.

Lawrence T. Pileggi, Noel Menezes, Satyamurthy Pullela, 1995, 32nd Design Automation Conference.

Brian Taylor, Lawrence T. Pileggi, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Michael W. Beattie, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Brian Taylor, Lawrence T. Pileggi, R. D. Blanton, 2008, 2008 Design, Automation and Test in Europe.

Lawrence T. Pileggi, Anirudh Devgan, Jiayong Le, 2003, ICCAD.

Lawrence T. Pileggi, Kaushik Vaidyanathan, Bishnu P. Das, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Lawrence T. Pileggi, Curtis L. Ratzlaff, L. Pileggi, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrzej J. Strojwas, Lawrence T. Pileggi, Vyacheslav Rovner, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Lawrence T. Pileggi, Byron Krauter, 1995, ICCAD.

Franz Franchetti, Lawrence T. Pileggi, Fazle Sadi, 2016, 2016 IEEE High Performance Extreme Computing Conference (HPEC).

Lawrence T. Pileggi, Vehbi Calayir, 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Sani R. Nassif, Lawrence T. Pileggi, Xin Li, 2005, Design, Automation and Test in Europe.

Lawrence T. Pileggi, Diana Marculescu, Sebastian Herbert, 2009, ISLPED.

Lawrence T. Pileggi, Dean P. Neikirk, Nanda Gopal, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Lawrence T. Pileggi, Rohini Gupta, Byron Krauter, 1996, Proceedings of 9th International Conference on VLSI Design.

Lawrence T. Pileggi, Xin Li, Jiayong Le, 2004, Proceedings. 41st Design Automation Conference, 2004..

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Davide Pandini, Andrzej J. Strojwas, Lawrence T. Pileggi, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ying Liu, Sani R. Nassif, Lawrence T. Pileggi, 2002, Proceedings International Symposium on Quality Electronic Design.

Lawrence T. Pileggi, Vehbi Calayir, 2013, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS).

Santanu Dutta, Lawrence T. Pileggi, Douglas R. Holberg, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Patrick Groeneveld, Lawrence T. Pileggi, Raul Camposano, 2000, DAC.

James A. Bain, Lawrence T. Pileggi, Abhishek A. Sharma, 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Davide Pandini, Andrzej J. Strojwas, Lawrence T. Pileggi, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Lawrence T. Pileggi, Noel Menezes, Florentin Dartu, 1994, ICCAD.

Lawrence T. Pileggi, Vehbi Calayir, 2013, The 2013 International Joint Conference on Neural Networks (IJCNN).

Lawrence T. Pileggi, Xin Li, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Aneesh Koorapaty, L. Pileggi, 2002, FPL.

Lawrence T. Pileggi, Rohini Gupta, Byron Krauter, 1995, 32nd Design Automation Conference.

Jian Wang, Lawrence T. Pileggi, Xin Li, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

Franz Franchetti, Lawrence T. Pileggi, Fazle Sadi, 2017, 2017 IEEE High Performance Extreme Computing Conference (HPEC).

Lawrence T. Pileggi, Florentin Dartu, Bogdan Tutuianu, 1996, 33rd Design Automation Conference Proceedings, 1996.

Franz Franchetti, Lawrence T. Pileggi, Qiuling Zhu, 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

Lawrence T. Pileggi, Michael W. Beattie, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Christos Faloutsos, Leman Akoglu, Lawrence T. Pileggi, 2018, CIKM.

Lawrence T. Pileggi, Peng Li, Mehdi Asheghi, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Peng Li, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Seok-Yoon Kim, Nanda Gopal, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Peng Li, 2003, DAC '03.

Jean-Olivier Plouchart, Lawrence T. Pileggi, Jonathan Proesel, 2011, IEEE Journal of Solid-State Circuits.

Lawrence T. Pileggi, Noel Menezes, Satyamurthy Pullela, 1993, 30th ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Peng Li, Wanju Chiang, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Lawrence T. Pileggi, Philippe Magarshack, Abbas El Gamal, 2003, DAC '03.

Lawrence T. Pileggi, L. Pileggi, 1998, ISPD '98.

Salil Raje, Lawrence T. Pileggi, Padmini Gopalakrishnan, 2001, ISPD '01.

Lawrence T. Pileggi, Yi-Chang Lu, Mustafa Celik, 2001, DAC '01.

Lawrence T. Pileggi, Vanessa H.-C. Chen, 2014, IEEE Journal of Solid-State Circuits.

Andrzej J. Strojwas, Lawrence T. Pileggi, V. Kheterpal, 2004, Proceedings. 41st Design Automation Conference, 2004..

Lawrence T. Pileggi, Yu Xia, Byron Krauter, 1996, DAC '96.

Lawrence T. Pileggi, Mustafa Celik, L. Pileggi, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Alyssa Bonnoit, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Xin Li, Yang Xu, Lawrence T. Pileggi, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Lawrence T. Pileggi, Xin Li, Padmini Gopalakrishnan, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Mayler G. A. Martins, Samuel Nascimento Pagliarini, Lawrence T. Pileggi, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Lawrence T. Pileggi, Ravishankar Arunachalam, Florentin Dartu, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Lawrence T. Pileggi, Peng Li, 2003, ASP-DAC '03.

Lawrence T. Pileggi, Mohamed Darwish, Jeffrey A. Weldon, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrzej J. Strojwas, Lawrence T. Pileggi, Lars Liebmann, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Lawrence T. Pileggi, Kim Yaw Tong, 2006, 2006 International Conference on Computer Design.

Sani R. Nassif, Lawrence T. Pileggi, Emrah Acar, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Lawrence T. Pileggi, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Lawrence T. Pileggi, Xin Li, Padmini Gopalakrishnan, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Lawrence T. Pileggi, Noel Menezes, Satyamurthy Pullela, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Jonathan Proesel, Gökçe Keskin, 2010, IEEE Custom Integrated Circuits Conference 2010.

Lawrence T. Pileggi, Hui Zheng, L. Pileggi, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Lawrence T. Pileggi, Peng Li, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tao Lin, Lawrence T. Pileggi, Michael W. Beattie, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Tze Meng Low, Franz Franchetti, James C. Hoe, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Lawrence T. Pileggi, Michael W. Beattie, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Seok-Yoon Kim, Nanda Gopal, 1992, ICCAD.

Lawrence T. Pileggi, Seok-Yoon Kim, Nanda Gopal, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrzej J. Strojwas, Lawrence T. Pileggi, Xin Li, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Lawrence T. Pileggi, Ravishankar Arunachalam, Ronald DeShawn Blanton, 2002, VLSI Design.

M. Ray Mercer, Lawrence T. Pileggi, Noel Menezes, 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

Jian Wang, Lawrence T. Pileggi, Xin Li, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Samuel Nascimento Pagliarini, Lawrence T. Pileggi, Renzhi Liu, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Gianluca Piazza, Lawrence T. Pileggi, Tom Jackson, 2013, The 2013 International Joint Conference on Neural Networks (IJCNN).

Jan M. Rabaey, Naresh R. Shanbhag, Eric Pop, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Jean-Olivier Plouchart, Lawrence T. Pileggi, Jonathan Proesel, 2010, IEEE Custom Integrated Circuits Conference 2010.

Lawrence T. Pileggi, Florentin Dartu, 1997, DAC.

Stephen P. Boyd, Yang Xu, Lawrence T. Pileggi, 2004, Proceedings. 41st Design Automation Conference, 2004..

Soummya Kar, Brian Swenson, Lawrence T. Pileggi, 2016, 2016 International Joint Conference on Neural Networks (IJCNN).

Ying Liu, Andrzej J. Strojwas, Lawrence T. Pileggi, 1998, DAC.

Hyun Ah Song, Christos Faloutsos, Lawrence T. Pileggi, 2019, 2019 IEEE Power & Energy Society General Meeting (PESGM).

Ying Liu, Andrzej J. Strojwas, Lawrence T. Pileggi, 1999, DAC '99.

Lawrence T. Pileggi, Rohini Gupta, Byron Krauter, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Herman Schmit, Aneesh Koorapaty, 2003, FPL.

Lawrence T. Pileggi, Satrajit Gupta, 2004, Proceedings. 41st Design Automation Conference, 2004..

Radu Marculescu, Lawrence T. Pileggi, Diana Marculescu, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Lawrence T. Pileggi, Hui Zheng, Byron Krauter, 2003, IEEE Design & Test of Computers.

Samuel Nascimento Pagliarini, Lawrence T. Pileggi, Sudipta Bhuin, 2017, 2017 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Lawrence T. Pileggi, Herman Schmit, Vikas Chandra, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Lawrence T. Pileggi, Xin Li, Jiayong Le, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2003, DAC '03.

M. Ray Mercer, Lawrence T. Pileggi, Douglas R. Holberg, 1992, ICCAD.

Gabriela Hug, Xin Li, Lawrence T. Pileggi, 2016, 2016 IEEE Power & Energy Society Innovative Smart Grid Technologies Conference (ISGT).

Lawrence T. Pileggi, Renzhi Liu, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Doru-Thom Popovici, Franz Franchetti, James C. Hoe, 2014, 2014 IEEE High Performance Extreme Computing Conference (HPEC).

Gabriela Hug, Xin Li, Lawrence T. Pileggi, 2016, 2016 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe).

Lawrence T. Pileggi, Herman Schmit, Vikas Chandra, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Lawrence T. Pileggi, Xin Li, Jun Tao, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Lawrence T. Pileggi, Ken Mai, V. Mohammed Zackriya, 2021, FPGA.

Lawrence T. Pileggi, Ken Mai, Joseph Sweeney, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Lawrence T. Pileggi, V. H.-C. Chen, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Lawrence T. Pileggi, Florentin Dartu, Bogdan Tutuianu, 1996, 33rd Design Automation Conference Proceedings, 1996.

Cheng-Yuan Wen, James A. Bain, Lawrence T. Pileggi, 2013, IEEE Transactions on Electron Devices.