Kambiz Kaviani

发表

Aliazam Abbasfar, Amir Amirkhany, Ken Chang, 2012, IEEE Journal of Solid-State Circuits.

Amir Amirkhany, Kambiz Kaviani, Kwangmo Jung, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Yi Lu, Lei Luo, Barry Daly, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Amir Amirkhany, Koji Sano, Keisuke Saito, 2012, 2012 IEEE International Solid-State Circuits Conference.

Jeremy Johnson, Utkan Demirci, Butrus T. Khuri-Yakub, 2001, CARS.

Ting Wu, Manish Jain, Amir Amirkhany, 2012, IEEE Journal of Solid-State Circuits.

Ting Wu, Manish Jain, Amir Amirkhany, 2012, IEEE Journal of Solid-State Circuits.

Ting Wu, Ken Chang, Jung-Hoon Chun, 2009, 2009 IEEE International SOI Conference.

Masum Hossain, Jared Zerbe, Jihong Ren, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Yi Lu, Lei Luo, Michael Bucher, 2013, 2013 IEEE 63rd Electronic Components and Technology Conference.

Ting Wu, Ken Chang, Haechang Lee, 2009, 2009 IEEE Asian Solid-State Circuits Conference.

Yi Lu, Lei Luo, Barry Daly, 2014, IEEE Journal of Solid-State Circuits.

Aliazam Abbasfar, Amir Amirkhany, Ken Chang, 2012, 2012 IEEE International Solid-State Circuits Conference.

Masum Hossain, Jared Zerbe, Barry Daly, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Nhat Nguyen, Kambiz Kaviani, Roxanne Vu, 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

Ting Wu, Jung-Hoon Chun, Ken Chang, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Govert Geelen, Harrie Gunnink, Oliver Weiss, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).