D. Richmond

发表

C. Schurgers, A. Lin, T. Garrison, 2016, Advances in Archaeological Practice.

Ryan Kastner, Dustin Richmond, Mustafa S. Gobulukoglu, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Mark Oskin, Julian Shun, Dai Cheol Jung, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Pingfan Meng, Ryan Kastner, Quentin Gautier, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Dai Cheol Jung, Michael Bedford Taylor, Chun Zhao, 2020, 2020 14th IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

Alric Althoff, Andres Meza, Olivia Weng, 2023, ArXiv.

Ryan Kastner, Alric Althoff, Dajung Lee, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ryan Kastner, Alric Althoff, Dustin Richmond, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Daniel Petrisko, Chun Zhao, Scott Davidson, 2020, 2020 14th IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

S. Hauck, C. Ebeling, D. Richmond, 2012, 2012 Symposium on Application Accelerators in High Performance Computing.