Timothy Sherwood

发表

Joseph McMahan, Michael Christensen, Lawton Nichols, 2018, IEEE Micro.

Brad Calder, Timothy Sherwood, 2001, CASES '01.

Brad Calder, Timothy Sherwood, Michael Van Biesbrouck, 2004, IEEE International Symposium on - ISPASS Performance Analysis of Systems and Software, 2004.

Zheng Zhang, Timothy Sherwood, Zichang He, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Brad Calder, Erez Perelman, Timothy Sherwood, 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.

Martin Schulz, Bronis R. de Supinski, Frederic T. Chong, 2011, 2011 IEEE International Parallel & Distributed Processing Symposium.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010, ACSAC '10.

Dmitri B. Strukov, Timothy Sherwood, Advait Madhavan, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Wei Hu, Dejun Mu, Ryan Kastner, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Timothy Sherwood, Lin Tan, Brett Brotherton, 2006, TACO.

Glenn Reinman, Timothy Sherwood, Eren Kursun, 2006, J. Instr. Level Parallelism.

Timothy Sherwood, Chulho Shin, Banit Agrawal, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Timothy Sherwood, Mohit Tiwari, Shashidhar Mysore, 2009, 2009 18th International Conference on Parallel Architectures and Compilation Techniques.

Frederic T. Chong, Ryan Kastner, Ben Hardekopf, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

John Shalf, George Michelogiannakis, Timothy Sherwood, 2020, ASPLOS.

Timothy Sherwood, Lin Tan, T. Sherwood, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

ElMoustapha Ould-Ahmed-Vall, Timothy Sherwood, Hussam Mousa, 2010, 2010 43rd Hawaii International Conference on System Sciences.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.

Brad Calder, Timothy Sherwood, Jeremy Lau, 2003, CASES '03.

Brad Calder, Timothy Sherwood, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2007 .

Wei Hu, Dejun Mu, Ryan Kastner, 2012, IEEE Transactions on Information Forensics and Security.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010, WESS '10.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Timothy Sherwood, Ryan Dixon, 2008, ASPLOS 2008.

Dmitri B. Strukov, Timothy Sherwood, Advait Madhavan, 2019, ASPLOS.

Frederic T. Chong, Timothy Sherwood, Mohit Tiwari, 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

Timothy Sherwood, Banit Agrawal, 2009, IEEE/ACM Trans. Netw..

Gang Wang, Ryan Kastner, Timothy Sherwood, 2007, 2007 IEEE Symposium on Security and Privacy (SP '07).

Timothy Sherwood, Diba Mirza, Deeksha Dangwal, 2019, WCAE@ISCA.

Frederic T. Chong, Joseph McMahan, Timothy Sherwood, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Ryan Kastner, Timothy Sherwood, Jason Oberg, 2013, IEEE Design & Test.

Joseph McMahan, Timothy Sherwood, Weilong Cui, 2020, IEEE Micro.

João Pedro Hespanha, Timothy Sherwood, Forrest Brewer, 2009, J. Low Power Electron..

George Varghese, Brad Calder, Timothy Sherwood, 2003, ISCA '03.

Timothy Sherwood, Ted Huffmire, T. Sherwood, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Timothy Sherwood, Forrest Brewer, Greg Hoover, 2006, CASES '06.

Tevfik Bultan, Joseph McMahan, Timothy Sherwood, 2018, ATVA.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Ömer Egecioglu, Timothy Sherwood, Ryan Dixon, 2009, Int. J. Found. Comput. Sci..

Frederic T. Chong, Diana Franklin, Timothy Sherwood, 2009, ISCA '09.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2007 .

Timothy Sherwood, Forrest Brewer, Greg Hoover, 2008, SIGBED.

Brad Calder, Greg Hamerly, Erez Perelman, 2003, IEEE Micro.

Brad Calder, Greg Hamerly, Erez Perelman, 2002, ASPLOS X.

Frederic T. Chong, Timothy Sherwood, Yanjing Li, 2019, ASPLOS.

Dmitri B. Strukov, Timothy Sherwood, Advait Madhavan, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Bongshin Lee, Timothy Sherwood, Sheelagh Carpendale, 2011 .

Frederic T. Chong, Luke Theogarajan, Timothy Sherwood, 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Glenn Reinman, Timothy Sherwood, Eren Kursun, 2005, 2005 International Conference on Computer Design.

Brad Calder, Timothy Sherwood, Suleyman Sair, 2003 .

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2008, IEEE Design & Test of Computers.

Brad Calder, Erez Perelman, Timothy Sherwood, 2002 .

Brad Calder, Timothy Sherwood, T. Sherwood, 1999 .

Wei Hu, Dejun Mu, Ryan Kastner, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yan Meng, Ryan Kastner, Timothy Sherwood, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2011 .

Frederic T. Chong, Ben Hardekopf, Timothy Sherwood, 2011, PLDI '11.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Frederic T. Chong, Ryan Kastner, Ben Hardekopf, 2014, ASPLOS.

George Michelogiannakis, Joseph McMahan, Timothy Sherwood, 2019, ACM J. Emerg. Technol. Comput. Syst..

Chandra Krintz, Timothy Sherwood, Priya Nagpurkar, 2005, International Symposium on Code Generation and Optimization.

Timothy Sherwood, Ryan Dixon, T. Sherwood, 2008, 2008 IEEE International Symposium on Workload Characterization.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Brad Calder, Greg Hamerly, Erez Perelman, 2003, SIGMETRICS '03.

Brad Calder, Timothy Sherwood, 2004 .

Timothy Sherwood, Jeffrey Browne, 2012, SBIM '12.

Vinod Vaikuntanathan, Daniel Shumow, Melissa Chase, 2013, IEEE Micro.

Joseph McMahan, Timothy Sherwood, Weilong Cui, 2019, ASPLOS.

Brad Calder, Timothy Sherwood, Suleyman Sair, 2003, IEEE Trans. Computers.

Timothy Sherwood, Banit Agrawal, T. Sherwood, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ryan Kastner, Joseph McMahan, Timothy Sherwood, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

João Pedro Hespanha, Timothy Sherwood, Forrest Brewer, 2009, 2009 22nd International Conference on VLSI Design.

Yan Meng, Ryan Kastner, Ronald A. Iltis, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Joseph McMahan, Michael Christensen, Ben Hardekopf, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Henning Schulzrinne, Timothy Sherwood, Mohit Tiwari, 2011 .

Timothy Sherwood, Ryan Dixon, 2008 .

Timothy Sherwood, Georgios Tzimpragos, Deeksha Dangwal, 2020, IEEE Micro.

Frederic T. Chong, Timothy Sherwood, Banit Agrawal, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Chandra Krintz, Timothy Sherwood, Priya Nagpurkar, 2006, TACO.

Glenn Reinman, Timothy Sherwood, Eren Kursun, 2004, PACS.

Kaustav Banerjee, Timothy Sherwood, Sheng-Chih Lin, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Frederic T. Chong, Mark Oskin, Timothy Sherwood, 1998, ISCA.

Farilee Mintz, Timothy Sherwood, Miroslava Vomela, 2005, CHI Extended Abstracts.

Brad Calder, Timothy Sherwood, Suleyman Sair, 2000, MICRO 33.

Ying Gao, Frederic T. Chong, Ryan Kastner, 2014, IEEE Micro.

Timothy Sherwood, Forrest Brewer, Greg Hoover, 2006, CASES '06.

Yan Meng, Ryan Kastner, Timothy Sherwood, 2005, 11th International Symposium on High-Performance Computer Architecture.

George Varghese, Brad Calder, Timothy Sherwood, 2004, IEEE INFOCOM 2004.

Joseph McMahan, Michael Christensen, Lawton Nichols, 2017, ASPLOS.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2012, Cryptography and Security.

Brad Calder, Mark Oskin, Timothy Sherwood, 2004, CASES '04.

Kaustav Banerjee, Timothy Sherwood, Sheng-Chih Lin, 2006, ASPLOS XII.

Timothy Sherwood, Banit Agrawal, T. Sherwood, 2006, 2006 International Conference on Computer Design.

Frederic T. Chong, Timothy Sherwood, Mohit Tiwari, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Kaustav Banerjee, Timothy Sherwood, Sheng-Chih Lin, 2007, IEEE Micro.

Yan Meng, Ryan Kastner, Timothy Sherwood, 2005, J. Low Power Electron..

Dmitri B. Strukov, Timothy Sherwood, Advait Madhavan, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Karin Strauss, Gabriel H. Loh, Timothy Sherwood, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jacqueline Mai, Timothy Sherwood, Diba Mirza, 2019, 2019 2nd Workshop on Energy Efficient Machine Learning and Cognitive Computing for Embedded Applications (EMC2).

Brad Calder, Greg Hamerly, Erez Perelman, 2006, J. Mach. Learn. Res..

George Varghese, Satish Narayanasamy, Brad Calder, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Timothy Sherwood, Banit Agrawal, 2008 .

Timothy Sherwood, Çetin Kaya Koç, Vladimir Trujillo-Olaya, 2012, Journal of Cryptographic Engineering.

Ömer Egecioglu, Timothy Sherwood, Ryan Dixon, 2008, CIAA.

Brad Calder, Timothy Sherwood, Joel S. Emer, 1999, ICS '99.

Ryan Kastner, Timothy Sherwood, Jonathan Valamehr, 2008, TODE.

Nisheeth Shrivastava, Subhash Suri, Timothy Sherwood, 2006, International Symposium on Code Generation and Optimization (CGO'06).

Nisheeth Shrivastava, Subhash Suri, Timothy Sherwood, 2008, TACO.

Ryan Kastner, Sarah Meiklejohn, Timothy Sherwood, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Timothy Sherwood, Banit Agrawal, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Brad Calder, Greg Hamerly, Erez Perelman, 2005 .

Timothy Sherwood, Banit Agrawal, Shashidhar Mysore, 2008, ASPLOS.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2007 .

Frederic T. Chong, Mark Oskin, Timothy Sherwood, 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

Gang Wang, Ryan Kastner, Timothy Sherwood, 2010, TRETS.

Frederic T. Chong, Joseph McMahan, Timothy Sherwood, 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Brad Calder, Greg Hamerly, Erez Perelman, 2010 .

Frederic T. Chong, Timothy Sherwood, Mohit Tiwari, 2010, IEEE Micro.

Brad Calder, Timothy Sherwood, Suleyman Sair, 2003, ISCA '03.

Fabien Alibart, Dmitri B. Strukov, Timothy Sherwood, 2011, 2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).

Timothy Sherwood, Banit Agrawal, T. Sherwood, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Timothy Sherwood, Forrest Brewer, Greg Hoover, 2007, CASES '07.

M. Sheelagh T. Carpendale, Bongshin Lee, Timothy Sherwood, 2011, ITS '11.

Dmitri B. Strukov, Timothy Sherwood, Advait Madhavan, 2015, IEEE Micro.

Frederic T. Chong, Timothy Sherwood, Hassan M. G. Wassel, 2013 .

Brad Calder, Timothy Sherwood, Suleyman Sair, 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

Norman P. Jouppi, Glenn Reinman, Timothy Sherwood, 2005, CARN.

Wei Hu, Ryan Kastner, Timothy Sherwood, 2010, Design Automation Conference.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Joseph McMahan, Lawton Nichols, Jared Roesch, 2021, Theor. Comput. Sci..

Timothy Sherwood, Mohammad Rahman, Miroslava Vomela, 2004, CHI EA '04.

Ryan Kastner, Timothy Sherwood, Shreyas Prasad, 2006, ESORICS.

Timothy Sherwood, Advait Madhavan, Georgios Tzimpragos, 2019 .

Frederic T. Chong, Diana Franklin, Timothy Sherwood, 2009, 2009 10th International Symposium on Pervasive Systems, Algorithms, and Networks.

Joseph McMahan, Timothy Sherwood, Georgios Tzimpragos, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

Ryan Kastner, Sarah Meiklejohn, Timothy Sherwood, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Frederic T. Chong, Ben Hardekopf, Timothy Sherwood, 2010, PLAS '10.

Timothy Sherwood, Mohit Tiwari, Jonathan Valamehr, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Vinod Vaikuntanathan, Daniel Shumow, Melissa Chase, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Scott A. Mahlke, Timothy Sherwood, Robert Schreiber, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Martin Schulz, Frederic T. Chong, Diana Franklin, 2009, MEDEA '09.

Timothy Sherwood, Weilong Cui, T. Sherwood, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Wei Hu, Dejun Mu, Ryan Kastner, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wei Hu, Dejun Mu, Ryan Kastner, 2014, TODE.

Frederic T. Chong, Luke Theogarajan, Timothy Sherwood, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Norman P. Jouppi, Glenn Reinman, Timothy Sherwood, 2006, CASES '06.

Timothy Sherwood, Ted Huffmire, T. Sherwood, 2007 .

Brad Calder, Timothy Sherwood, 2000, ISHPC.

Ryan Kastner, Timothy Sherwood, Cynthia E. Irvine, 2010 .

Frederic T. Chong, Timothy Sherwood, Heba Saadeldeen, 2017, MEMSYS.

Yan Meng, Gang Wang, Ryan Kastner, 2005, International Conference on Engineering of Reconfigurable Systems and Algorithms.

Ryan Kastner, Timothy Sherwood, Shreyas Prasad, 2006 .

Wei Hu, Ryan Kastner, Timothy Sherwood, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Frederic T. Chong, Ryan Kastner, Ben Hardekopf, 2013, PLAS '13.

Brad Calder, Greg Hamerly, Erez Perelman, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Frederic T. Chong, Timothy Sherwood, Mohit Tiwari, 2009, ASPLOS.

Timothy Sherwood, Weilong Cui, 2018, IEEE Micro.

Yan Meng, Ryan Kastner, Timothy Sherwood, 2005, TACO.

Ryan Kastner, Timothy Sherwood, Timothy E. Levin, 2008, Comput. Secur..

Ben Hardekopf, Timothy Sherwood, Jonathan Balkind, 2021, PLDI.

John Shalf, George Michelogiannakis, Timothy Sherwood, 2021, IEEE Micro.

Adam Welc, Timothy Sherwood, Milind Chabbi, 2021, USENIX Annual Technical Conference.

Dmitri B. Strukov, Timothy Sherwood, Advait Madhavan, 2021, Commun. ACM.

Frederic T. Chong, Luke Theogarajan, Timothy Sherwood, 2010 .