Leveraging Transverse Reads to Correct Alignment Faults in Domain Wall Memories

Spintronic domain wall memories (DWMs) are prone to alignment faults, which cannot be protected by traditional error correction techniques. To solve this problem, we propose a new technique called derived error correction coding (DECC). We construct metadata from the data and shift state of the DWM, on demand, using a novel transverse read (TR). TR reads in an orthogonal direction to the DWM access point and can determine the number of ones in a DWM. Errors in the metadata correspond to shift-faults in the DWM. Rather than storing the metadata, it is created on-demand and protected by storing parity bits. Repairing the metadata with ECC allows restoration of DWM alignment and ensures correct operation. Through these techniques, our shift-aware error correction approaches provide a lifetime of over 15 years with a similar performance, while reducing area and energy by 370% and 52%, versus the state-of-the-art, for a 32-bit nanowire.

[1]  Eitan Yaakobi,et al.  Codes Correcting Limited-Shift Errors in Racetrack Memories , 2018, 2018 IEEE International Symposium on Information Theory (ISIT).

[2]  Haifeng Xu,et al.  Racetrack Queues for Extremely Low-Energy FIFOs , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Sanjukta Bhanja,et al.  Reading Nanomagnetic Energy Minimizing Coprocessor , 2018, IEEE Transactions on Nanotechnology.

[4]  Eitan Yaakobi,et al.  Codes correcting position errors in racetrack memories , 2017, 2017 IEEE Information Theory Workshop (ITW).

[5]  Chengmo Yang,et al.  A DWM-Based Stack Architecture Implementation for Energy Harvesting Systems , 2017, ACM Trans. Embed. Comput. Syst..

[6]  R. Victora,et al.  Dual referenced composite free layer design optimization for improving switching efficiency of spin-transfer torque RAM , 2017 .

[7]  A. Robert Calderbank,et al.  Correcting Two Deletions and Insertions in Racetrack Memory , 2017, ArXiv.

[8]  Sparsh Mittal,et al.  A Survey of Techniques for Architecting Processor Components Using Domain-Wall Memory , 2016, ACM J. Emerg. Technol. Comput. Syst..

[9]  Edwin Hsing-Mean Sha,et al.  Efficient Data Placement for Improving Data Access Performance on Domain-Wall Memory , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Rami G. Melhem,et al.  Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM , 2016, 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[11]  Jiwu Shu,et al.  Exploring main memory design based on racetrack memory technology , 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

[12]  Rami G. Melhem,et al.  FusedCache: A Naturally Inclusive, Racetrack Memory, Dual-Level Private Cache , 2016, IEEE Transactions on Multi-Scale Computing Systems.

[13]  Rami G. Melhem,et al.  ContextPreRF: Enhancing the Performance and Energy of GPUs With Nonuniform Register Access , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Jiwu Shu,et al.  Exploring data placement in racetrack memory based scratchpad memory , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

[15]  Ehsan Atoofian,et al.  Shift-aware racetrack memory , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[16]  Ehsan Atoofian,et al.  Reducing shift penalty in Domain Wall Memory through register locality , 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[17]  Yu Wang,et al.  Hi-fi playback: Tolerating position errors in shift operations of racetrack memory , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

[18]  Rami G. Melhem,et al.  Domain-wall memory buffer for low-energy NoCs , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[19]  Yiran Chen,et al.  Read Performance: The Newest Barrier in Scaled STT-RAM , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Hai Li,et al.  Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power , 2015, The 20th Asia and South Pacific Design Automation Conference.

[21]  Kaushik Roy,et al.  STAG: Spintronic-Tape Architecture for GPGPU cache hierarchies , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[22]  Stijn Eyerman,et al.  An Evaluation of High-Level Mechanistic Core Models , 2014, ACM Trans. Archit. Code Optim..

[23]  Yiran Chen,et al.  Exploration of GPGPU register file architecture using domain-wall-shift-write based racetrack memory , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[24]  Hao Yu,et al.  An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[25]  M. Aoki,et al.  Novel highly scalable multi-level cell for STT-MRAM with stacked perpendicular MTJs , 2013, 2013 Symposium on VLSI Technology.

[26]  Wenqing Wu,et al.  Cross-layer racetrack memory design for ultra high density and low power consumption , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[27]  Kaushik Roy,et al.  DWM-TAPESTRI - An energy efficient all-spin cache using domain wall shift based writes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[28]  M. Aoki,et al.  A novel MTJ for STT-MRAM with a dummy free layer and dual tunnel junctions , 2012, 2012 International Electron Devices Meeting.

[29]  Yiran Chen,et al.  Multi-level cell STT-RAM: Is it realistic or just a dream? , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[30]  Yue Zhang,et al.  Ultra-High Density Content Addressable Memory Based on Current Induced Domain Wall Motion in Magnetic Track , 2012, IEEE Transactions on Magnetics.

[31]  T. Trypiniotis,et al.  Magnetic domain-wall racetrack memory for high density and fast data storage , 2012, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.

[32]  Kaushik Roy,et al.  TapeCache: a high density, energy efficient cache based on domain wall memory , 2012, ISLPED '12.

[33]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[34]  K. Roy,et al.  Exploring variability and reliability of multi-level STT-MRAM cells , 2012, Device Research Conference.

[35]  Weisheng Zhao,et al.  Perpendicular-magnetic-anisotropy CoFeB racetrack memory , 2012 .

[36]  K. Roy,et al.  Numerical analysis of domain wall propagation for dense memory arrays , 2011, 2011 International Electron Devices Meeting.

[37]  P. Chevalier,et al.  Racetrack memory cell array with integrated magnetic tunnel junction readout , 2011, 2011 International Electron Devices Meeting.

[38]  S. Parkin,et al.  Magnetic Domain-Wall Racetrack Memory , 2008, Science.

[39]  Shunsuke Fukami,et al.  Micromagnetic analysis of current driven domain wall motion in nanostrips with perpendicular magnetic anisotropy , 2008 .

[40]  Yiming Huai,et al.  Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects , 2008 .

[41]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[42]  Joel S. Emer,et al.  The soft error problem: an architectural perspective , 2005, 11th International Symposium on High-Performance Computer Architecture.

[43]  Norman P. Jouppi,et al.  CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.

[44]  G. Cabrera,et al.  Theory of the Residual Resistivity of Bloch Walls I. Paramagnetic Effects , 1974, February 1.

[45]  L. Berger,et al.  Prediction of a domain-drag effect in uniaxial, non-compensated, ferromagnetic metals , 1974 .